东华大学数电课程设计

发布 2022-10-04 03:53:28 阅读 8208

引言。**机是千家万户常用的通信设备。如今**机差不多全是按键式的,连那些只具有基本功能的**机也有0 ~ 9 及“*”#”等 12 个按键和若干功能键。

许多**机还有一个带led 的显示屏,拨打**时能够显示拨出的**号码等信息。仿照这一功能,我们可以设计一个“**机键盘拨号led 显示” 控制电路,利用lp-2900 fpga 实验装置,将我们的设计**到实验装置,实现键盘拨号led 显示。

删减,**,目录。

一、设计任务与要求。

1.1 设计任务5

1.2 设计要求5

二、总体方案的选择。

2.1 dc-dc电源转换器稳压电路的分类。

2.1.1 线性稳压电路6

2.1.2 开关型稳压电路7

2.1.3 稳压电路的选择7

2.2 dc-dc 转换技术。

2.2.1 dc-dc 转换技术的概述8

2.2.2 dc-dc 转换技术的分类8

2.2.3 dc-dc 转换技术的选择102.3 激励信号的调制方法。

2.3.1 脉冲宽度调制(pwm11

2.3.2 脉冲频率调制(pfm11

2.3.3 激励信号调制方法的选择12

2.4 激励源的设计分析。

2.4.1 自激式和驱动式开关电源的选择122.4.2 振荡电路的选择14

本章总结14

三、单元电路的设计。

3.1 振荡电路的设计15

3.2 功率驱动开关电路的设计16

3.3 lc 滤波电路的设计17

3.4 电压采样反馈与过流保护电路设计17四、总体电路图设计。

4.1 总体电路图19

4.2 总体电路**20

五、组装与调试。

5.1 振荡电路和驱动开关模块的组装与调试215.2 lc 滤波电路的组装与调试22

5.3 电压采样反馈调整和过流保护电路模块的组装与调试。235.4 调试过程中发现的问题及解决方法23六、所用元器件的购买清单24

七、实际测试数据与误差分析。

7.1 测试数据与波形25

7.2 误差分析25

八、参考文献26

九、收获、体会和建议27

第一章设计任务与要求。

1.1 设计任务。

**机是千家万户常用的通信设备。如今**机差不多全是按键式的,连那些只具有基本功能的**机也有0-9 及“*”#”等 12 个按键和若干功能键。许多**机还有一个带led 的显示屏,拨打**时能够显示拨出的**号码等信息。

仿照这一功能,我们可以设计一个“**机键盘拨号led 显示”控制电路,利用lp-2900 fpga实验装置,将我们的设计**到实验装置,实现键盘拨号led 显示,并且利用分频电路得到需要的频率分配给蜂鸣器,使每一个按键都能发出不同的声音,甚至我们可以利用这些按键来演奏动听的乐谱。

1.2 设计要求。

1.利用3 x 4 小键盘输入“0”-“9”10 个数字键,字符能在led 显示屏从左到右依次正确显示;每按一次键,显示一位号码;

2. 设有“reset”复位键,等待键盘新一轮输入。

3. 对“1”-“7”7个数字键设置按键音依次为:

第二章系统概述。

2.1 设计思想。

西华大学数电课程设计

课程设计说明书。日期 2016年 7 月 3 日。倒计时控制电路的设计。摘要 本文的目标是设计一个可灵活调节的倒计时计数电路。为了使计数器且能够自由切换运行状态及计数步长,本文将电路设计为四个模块。1 信号产生模块产生分信号与秒信号 2 控制模块控制运行状态 计数 置数 的切换以及计时步长 分 秒 ...

湖南大学数电课程设计

数电课程设计实验报告 课题 竞赛抢答器 学院 电气与信息工程学院 姓名 班级 电子信息工程1001班 一 课程设计的要求及目的 1 二 设计的功能要求 2 三 设计思路框图 3 四 vhdl程序 数电课程设计实验报告 课题 竞赛抢答器 学院 电气与信息工程学院 姓名 班级 电子信息工程1001班 1...

数电课程设计

两位十进制计数显示器。一 设计内容。本设计主要采用芯片有555定时器 74162计数器 7448七段字形译码器,以及七段led数码管。能过以上元器件的组合,构成一个两位十进制计数显示器,实现循环依序显示0 99的数字。要求每位同学独立设计电路原理图,制作pcb电路板并印制上自己的学号。二 电路原理。...