湖南大学数电课程设计

发布 2022-10-04 04:03:28 阅读 1661

数电课程设计实验报告

课题:竞赛抢答器

学院:电气与信息工程学院

姓名:班级:电子信息工程1001班

一、课程设计的要求及目的 - 1 -

二、设计的功能要求 - 2 -

三、设计思路框图 - 3 -

四、vhdl程序

数电课程设计实验报告

课题:竞赛抢答器

学院:电气与信息工程学院

姓名:班级:电子信息工程1001班

1.了解电子设计的具体流程和方法。

2. 掌握电子设计的基本要求,能够运用所学的知识解决生活中的一些问题。

3. 初步掌握vhdl语言编程,并设计出一个有意义的小型系统。

4. 掌握altium designer 6.9软件的应用,并且了解相关硬件的组成和功能。

5. 用eda(electronic design automation)或者原理图完成一个课题的设计,并达到相应的功能要求。

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等各种手段批示出第一抢答者。同时,还可以设置计分、犯规及奖惩记录等各种功能。本设计利用eda技术,可容纳8组参赛,每组设置一个按钮供参赛者抢答使用。

主持人按下开始按键后,10s倒计时开始,当一组抢答器按下后,1602lcd显示抢答的组别。由于抢答器具有第一信号鉴别和锁存功能使其余的组就抢答无效,抢答的组回答问题后由主持人进行加减分,然后主持人按复位键后继续进行抢答。

1、在1602lcd屏幕上显示所需组别及分数;

2、考虑到有选手犯规,在主持人未按下开始键但是已经有组别按下抢答键时会在屏幕显示该组别犯规(fg),并由主持人手动减分;

3、按下开始键后,有10s的时间供选手们抢答,如果30s后仍无人抢答,则蜂鸣器报警,并在屏幕上显示超时(cs);

4、每个组别设置的初始分数为0分,加分上限为9分;

5、能实现系统手动复位,复位后回到初始状态,并等待主持人按下开始键。

library ieee;

use use

use entity padtokey is

port (

cpin,r,validkey :in std_logic;

key :in std_logic_vector(3 downto 0);

keyout: out std_logic_vector(15 downto 0);

clk_1mhz,rst: out std_logic

end entity ;

architecture jgt of padtokey is

signal ctcp: integer range 0 to 5;

signal cpo :std_logic:='0';

signal ctcpo :integer range 0 to 4999;

type states is (s0,s1,s2);

signal s: states;

beginprocess(cpin)

beginif cpin='1' and cpin 'event then

if ctcp=5 then

cpo <=not cpo; ctcp<=0;

elsectcp<=ctcp+1;

end if;

end if;

end process;

process(cpo,r)

beginclk_1mhz<=cpo;

if r='1' then

rst<='0';s<=s0;ctcpo<=0; keyout<="0000000000000000";

elsif cpo='1' and cpo 'event then

if ctcpo /=4999 then

ctcpo<=ctcpo+1;

elsectcpo<=0;

东华大学数电课程设计

引言。机是千家万户常用的通信设备。如今 机差不多全是按键式的,连那些只具有基本功能的 机也有0 9 及 等 12 个按键和若干功能键。许多 机还有一个带led 的显示屏,拨打 时能够显示拨出的 号码等信息。仿照这一功能,我们可以设计一个 机键盘拨号led 显示 控制电路,利用lp 2900 fpga...

西华大学数电课程设计

课程设计说明书。日期 2016年 7 月 3 日。倒计时控制电路的设计。摘要 本文的目标是设计一个可灵活调节的倒计时计数电路。为了使计数器且能够自由切换运行状态及计数步长,本文将电路设计为四个模块。1 信号产生模块产生分信号与秒信号 2 控制模块控制运行状态 计数 置数 的切换以及计时步长 分 秒 ...

数电课程设计

两位十进制计数显示器。一 设计内容。本设计主要采用芯片有555定时器 74162计数器 7448七段字形译码器,以及七段led数码管。能过以上元器件的组合,构成一个两位十进制计数显示器,实现循环依序显示0 99的数字。要求每位同学独立设计电路原理图,制作pcb电路板并印制上自己的学号。二 电路原理。...