EDA试卷与答案

发布 2022-09-02 21:15:28 阅读 6086

eda試卷及答案。

一、单项选择题。

. 基于eda软件的fpga/cpld设计流程为:原理图/hdl文本输入综合→适配编程**→硬件测试。

a. 功能**b. 时序**。

c. 逻辑综合d. 配置。

3. ip核在eda技术和开发中具有十分重要的地位;提供用vhdl等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的ip核为。

a. 软ipb. 固ip

c. 硬ipd. 全对。

4. 综合是eda设计流程的关键步骤,在下面对综合的描述中是错误的。

a. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。

b. 综合就是将电路的高级语言转化成低级的,可与fpga / cpld的基本结构相映射的网表文件。

c. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

d. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。

5. 大规模可编程器件主要有fpga、cpld两类,其中cpld通过___实现其逻辑功能。

a. 可编程乘积项逻辑b. 查找表(lut)

c. 输入缓冲d. 输出缓冲。

6. vhdl语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述。

a. 器件外部特性b. 器件的内部功能

c. 器件外部特性与内部功能 d. 器件的综合约束。

7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中___不属于面积优化。

a. 流水线设计b. 资源共享。

c. 逻辑优化d. 串行化。

8. 进程中的信号赋值语句,其信号更新是。

a. 立即完成b. 在进程的最后完成。

c. 按顺序完成d. 都不对。

9. 不完整的if语句,其综合结果可实现___

a. 时序逻辑电路b. 组合逻辑电路。

c. 双向电路d. 三态控制电路。

10. 状态机编码方式中,其中___占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。

a. 一位热码编码b. 顺序编码。

c. 状态位直接输出型编码 d. 格雷码编码。

二、vhdl程序填空。

1. 下面程序是1位十进制计数器的vhdl描述,试补充完整。

2. 下面是一个多路选择器的vhdl描述,试补充完整。

三、vhdl程序改错。

仔细阅读下列程序,回答问题。

1. 在程序中存在两处错误,试指出,并说明理由:

2. 修改相应行的程序:

错误1 行号: 程序改为:

错误2 行号: 程序改为:

四、阅读下列vhdl程序,画出原理图(rtl级)

五、请按题中要求写出相应vhdl程序。

1. 带计数使能的异步复位计数器。

输入端口: clk 时钟信号。

rst 异步复位信号。

en 计数使能。

load 同步装载。

data (装载)数据输入,位宽为10

输出端口: q 计数输出,位宽为10

2. 看下面原理图,写出相应vhdl描述。

六、综合题。

下图是一个a/d采集系统的部分,要求设计其中的fpga采集控制模块,该模块由三个部分构成:控制器(control)、地址计数器(addrcnt)、内嵌双口ram(adram)。控制器(control)是一个状态机,完成ad574的控制,和adram的写入操作。

adram是一个lpm_ram_dp单元,在wren为’1’时允许写入数据。试分别回答问题。

下面列出了ad574的控制方式和控制时序图。

ad574逻辑控制真值表(x表示任意)

ad574工作时序:

1. 要求ad574工作在12位转换模式,k12_8、a0在control中如何设置。

2. 试画出control的状态机的状态图。

3. 对地址计数器模块进行vhdl描述。

输入端口:clkinc 计数脉冲。

cntclr 计数器情零。

输出端口:rdaddr ram读出地址,位宽10位。

4. 根据状态图,试对control进行vhdl描述。

5. 已知adram的端口描述如下。

试用例化语句,对整个fpga采集控制模块进行vhdl描述。

eda試卷答案。

一、单项选择题。

. 基于eda软件的fpga/cpld设计流程为:原理图/hdl文本输入→__a__→综合→适配→__b___编程**→硬件测试。p14

a. 功能**b. 时序**。

c. 逻辑综合d. 配置。

3. ip核在eda技术和开发中具有十分重要的地位;提供用vhdl等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的ip核为__a___p25

a. 软ipb. 固ip

c. 硬ipd. 全对。

4. 综合是eda设计流程的关键步骤,在下面对综合的描述中,__d___是错误的。p15

a. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。

b. 综合就是将电路的高级语言转化成低级的,可与fpga / cpld的基本结构相映射的网表文件。

c. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

EDA试卷A答案

课程名称 eda技术考试时间 110 分钟 课程 8400070试卷总分 100 分。一 填空题参 及评分标准 本大题共6小题10空,每空3分,总计30分 评分标准 填对一空得3分,不填或填错得0分。参 1 电子设计自动化 现场可程序门阵列。mhz 3 acex1k 45 功能 6 hdl语言 原理...

EDA试卷a答案

石家庄铁道学院2006 2007学年第2学期。05 级本科班期末考试试卷 a 课程名称 eda技术任课教师 井海明考试时间 70 分钟。学号姓名班级。考试性质 学生填写 正常考试 缓考补考 重修 提前修读 一 写出下列英文缩写术语的全称和汉语意思 每题5分,共25分 1 soc system on ...

2019EDA试卷 有答案

电子科技大学2011 2012学年第 2 学期期末考试卷。课程名称 数字系统eda技术 考试形式 一页纸开卷考试日期 2012年5月日考试时长 120分钟。课程成绩构成 平时 10 期中 0 实验 30 期末 60 本试卷试题由 5 部分构成,共 8 页。一 选择题 共20分,共10题,每题2分 1...