EDA考试复习答案

发布 2022-09-02 21:07:28 阅读 7929

填空15判断10简答20根据程序画出对应电路图15改错30填补程序10

现场可编程门阵列;cpld:复杂可编程逻辑器件;vhdl:超高速集成电。

路硬件描述语言;eda:电子设计自动化;cad:计算机辅助设计数据对象:变量、常量和信号;

变量位置:进程、子程序;常量位置:程序包、实体、结构体、块、进程信号位置:程序包、实体、结构体、块信号与变量的异同(简答)

信号赋值至少有δ延时,而变量赋值没有延时;

信号除当前值外还有许多相关的信息,而变量只有当前值;

变量是一个局部量,只能用于进程或子进程中;信号是一个全局量,它可以用来进行进程之间的通信;

信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件;变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中;

信号赋值是可以出现在进程中,也可以直接出现在结构体中,但它们的运行含义不同:前者属顺序信号赋值,此时的赋值操作要视进程是否已被启动,后者属并行信号赋值,其赋值操作是各自独立并行发生。

结构体的说明部分,进程的说明部分分别可以定义哪些?结构体和进程的内部使用什么语句?

3.端口模式种类(填空):out,in,inout,buffer

4.子程序:包括函数和过程。参量表包括那些(判断),以及函数与过程的异同(判断)

重载的概念(判断)

参量表是用来定义输入值的,所以不必再表示方向。参量可以是信号或常熟,如果没有特殊说明,则参数被默认为常熟。

过程能返回多个变量或者不返回值,函数只能返回一个值;

函数的参量都是输入参量,过程的参量有输入,输出和双向参数;⑶都是顺序调用和并行调用,顺序调用存在于子进程或另一子进程中,并行调用存在于进程外部或另一子进程外部。

子进程重载指一个或多个子程序使用相同的名字,它允许这些子进程使用不同类型的参数表。换言之,子程序重载允许设计者用一个名字写多个子程序,但变量数,变量的类型或返回值可能不同。

5.数据转化,16进制,8进制等转换为10进制语句与exit语句的区别(简答)

next语句结束本次循环,开始下一次循环;跳向loop语句的起始点;exit语句结束整个循环,跳出循环体外,跳向loop语句的终点。标识符的书写规则。

标识符规则是vhdl中符号书写的一般规则。有效的字符:包括26个大小写英文字母,数字包括0~9以及下划线“_”

第一个字符必须是字母;⑵最后一个字符不能是下划线;⑶不允许连续两个下划线;⑷在标识符中大、小写字母是等效的。

vhdl中的注释文字一律为2个连续的连接线“--可以出现在任一语句后面,也可以出现在独立行;⑹vhdl的保留字(关键字)不能用于标识符;一共有几大设计库,分别是哪些以及怎么打开这些库。

vhdl中的库大致可归纳为5种:ieee库、std库、asic矢量库、work库和用户定义库。

std库、work库:不加说明可直接引用。

ieee库、asic矢量库、用户定义库:需手动打开,一般使用use语句打开。

9.程序包:包首和包体是否必须同时存在,什么时候只要包首,怎么写一个程序包并且打。

开(判断,填补程序)在程序包的结构体中,包体并非总是必需的程序包首可以独立定义和使用。如果仅仅是定义数据类型或定义数据对象等内容,程序包体是不必要的。package程序包名is——程序包首程序包首说明部分end程序包名;

use work.程序包名。all

package body程序包名is——程序包体程序包体说明部分部分以及包体内end程序包名;

use work.程序包名。all

上面那个跟下面这个有答案有点出入,自己看着办吧!!!

10. typesubtype语句的作用(判断)vhdl允许用户自己定义数据类型。用户所定义的数据类型是通过类型定义语句type和子类型定义语句subtype实现的。

11.一般的与或非画图12.生成语句(画图)

13.根据给出的结构体写出实体和程序包部分。

14.修改错误例如:(1)port后的最后一个“;”应在括号外。

2)a and b or c;应该加括号改为(a and b)or c。(3)进程一定要有敏感信号表(4)if语句属于顺序语句,应该放在进程里面,在**怎么添加,是不是少了一个end if ,或者不该有分号的地方有一个分号等等(5)else if应该改为elsif.(6)实体,结构体的名字是否一直等。

edA考试卷 带答案

2008 2009学年第一学期eda技术a卷。适用 06级电子信息工程专业eda技术a 一 填空题 共20分,每空1分 1 在vhdl程序设计中,常用的库有 ieee库 std work 库等。2 max plusii为原理图输入设计配备了各种需要的元件库,它们分别是 基本逻辑元件库 宏功能元件库 ...

EDA试卷A答案

课程名称 eda技术考试时间 110 分钟 课程 8400070试卷总分 100 分。一 填空题参 及评分标准 本大题共6小题10空,每空3分,总计30分 评分标准 填对一空得3分,不填或填错得0分。参 1 电子设计自动化 现场可程序门阵列。mhz 3 acex1k 45 功能 6 hdl语言 原理...

EDA课后答案

p93 3 7 library ieee use entity h suber is port x,y in std logic diff,s out out std logic end entity architecture hdlarch of h suber is begin process ...