EDA试卷A答案

发布 2022-09-02 11:05:28 阅读 9878

课程名称: eda技术考试时间: 110 分钟

课程**8400070试卷总分: 100 分。

一、填空题参***及评分标准:(本大题共6小题10空,每空3分,总计30分)

评分标准:填对一空得3分,不填或填错得0分。

参***:1、电子设计自动化 、 现场可程序门阵列。

mhz 3、acex1k 45、功能**。

6、hdl语言、原理图(只要意思正确即可)

注:如有错别字,但不影响该空正确答案的判断只扣1分。

二、判断题参***及评分标准:(本大题共10小题,每小题3分,总计30分)

评分标准:判断正确一道得3分,不判断或判断错得0分。参***:

三、**与电路设计参***及评分标准:(本大题共4小题,每小题10分,总计40分)

评分标准:按程序各部分给分。语法错误一处扣1分,3分扣光为止。

注:不要求一定要使用题目中的符号写**。

1、参***:

赋值正确 2分时间标对3分。

赋值正确 2分时间标对3分。

2、参***:

module adder(a, b, c,clk,cot1分。

input [7:0]a,b;

input clk;

output [7:0]c;

output cot2分。

lpm_add_sub

adder4 (.dataa(a) ,datab(b),.clock(clk)

result(c),.cout(cot3分。

defparam "unsigned";

defparam

defparam 分。

endmodule1分。

3、参***:

module conter(clk, clr, en, load, q1分。

input clk,clr,en,load;

output [3:0]q2分。

reg [3:0]q1分。

always @(posedge clk)

if (clr==0)

q[3:0]=0;

else if (load==1)

q[3:0]=4’b0110;

else if (en==1)

q[3:0]=q[3:0]-15分。

endmodule1分。

4、参***:

module bitri(tri_inout, out,in,en,b1分。

inout tri_inout;

input in,en,b;

output out3分。

assign tri_inout=en?in:’bz;

assign out=tri_inout^b5分。

endmodule1分。

EDA试卷a答案

石家庄铁道学院2006 2007学年第2学期。05 级本科班期末考试试卷 a 课程名称 eda技术任课教师 井海明考试时间 70 分钟。学号姓名班级。考试性质 学生填写 正常考试 缓考补考 重修 提前修读 一 写出下列英文缩写术语的全称和汉语意思 每题5分,共25分 1 soc system on ...

EDA试卷与答案

eda試卷及答案。一 单项选择题。基于eda软件的fpga cpld设计流程为 原理图 hdl文本输入综合 适配编程 硬件测试。a.功能 b.时序 c.逻辑综合d.配置。3.ip核在eda技术和开发中具有十分重要的地位 提供用vhdl等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的ip核...

2019EDA试卷 有答案

电子科技大学2011 2012学年第 2 学期期末考试卷。课程名称 数字系统eda技术 考试形式 一页纸开卷考试日期 2012年5月日考试时长 120分钟。课程成绩构成 平时 10 期中 0 实验 30 期末 60 本试卷试题由 5 部分构成,共 8 页。一 选择题 共20分,共10题,每题2分 1...