EDA试卷a答案

发布 2022-09-02 20:59:28 阅读 5053

石家庄铁道学院2006-2007学年第2学期。

05 级本科班期末考试试卷(a)

课程名称: eda技术任课教师:井海明考试时间: 70 分钟。

学号姓名班级。

考试性质(学生填写):正常考试( )缓考补考( )重修( )提前修读( )

一、写出下列英文缩写术语的全称和汉语意思(每题5分,共25分)

1)soc system on a chip 片上系统。

2) esda electronic system design automation 电子设计自动化。

(3)hdl hardware description language硬件描述语言。

(4)vlsi very large scale integration超大规模集成电路。

(5) cpld complex programmable logical device 复杂可编程逻辑器件

二、 eda实验的3个层次是什么?(15分)

1、逻辑行为的实现

如:译码器、红绿交通灯控制、表决器、显示扫描器、电梯控制、乒乓球等电路的设计,时钟频率一般低于4mhz

2、控制与信号传输功能的实现

如:各类信号发生器、a/d采样控制器、fifo、rs232或ps/2通信、fpga/cpld与单片机综合控制等电路的设计,时钟频率一般在25mhz左右

3、算法的实现

如:离散fft变换、数字滤波器、浮点乘法器、高速宽位加法器、数字振荡器、数字锁相环、调制解调器、图象dsp等电路的设计,时钟频率一般在50mhz以上

三、用vhdl描述2-4译码器。(15分)

zlibrary ieee;

zuse zentity decoderis

zport(sel:in std_logic_vector(1 downto 0);

zy:out std_logic_vector(3 downto 0));

zend decoder;

zarchitecture one of decoder is

zbegin

zprocess(sel)

zbegin

zcase sel is

zwhen "00"=>y<="0001";

zwhen "01"=>y<="0010";

zwhen "10"=>y<="0100";

zwhen "11"=>y<="1000";

zwhen others=>y<="xxxx";

zend case;

zend process;

zend one;

四、描述一下“自顶向下”的设计方法。(15分)

在“自顶向下”的设计中,首先需要进行行为设计,确定该电子系统或vlsi芯片的功能、性能及芯片的面积,接着进行结构的设计,根据该电子系统或芯片的特点,分解为子系统,再构成大系统。

五、什么是功能**,什么是时序**?(15分)

功能**。不考虑信号传输和器件的延时。

时序**。不同器件的内部延时不一样,不同的布局、布线延时也会有比较大的不同。

六、平时成绩(15分)

EDA试卷A答案

课程名称 eda技术考试时间 110 分钟 课程 8400070试卷总分 100 分。一 填空题参 及评分标准 本大题共6小题10空,每空3分,总计30分 评分标准 填对一空得3分,不填或填错得0分。参 1 电子设计自动化 现场可程序门阵列。mhz 3 acex1k 45 功能 6 hdl语言 原理...

EDA试卷与答案

eda試卷及答案。一 单项选择题。基于eda软件的fpga cpld设计流程为 原理图 hdl文本输入综合 适配编程 硬件测试。a.功能 b.时序 c.逻辑综合d.配置。3.ip核在eda技术和开发中具有十分重要的地位 提供用vhdl等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的ip核...

2019EDA试卷 有答案

电子科技大学2011 2012学年第 2 学期期末考试卷。课程名称 数字系统eda技术 考试形式 一页纸开卷考试日期 2012年5月日考试时长 120分钟。课程成绩构成 平时 10 期中 0 实验 30 期末 60 本试卷试题由 5 部分构成,共 8 页。一 选择题 共20分,共10题,每题2分 1...