EDA 有答案版

发布 2022-09-02 21:05:28 阅读 8511

得分统计表:

一 、填空题:(每空1分,共20分)

1、布尔类型(boolean)的取值只有___false___和___true___

2、位类型(bit)的取值只有__1___和_ 0___

3、signal b:bit_vector(6 to 0),信号b被定义为__7__位位宽。

4、仅能用于**的数据类型有___时间类型_ 、实数类型 )。

5、‘a’,‘a’是不同的___字符 )_

6、错误等级类型用来表示系统的状态,共有四种错误等级: (note注意 )、warning 警告) 、error错误)__failure失败)__

7、vhdl语言有4类操作符_(逻辑操作符)、(关系操作符)、(算术操作符)、(符号操作符)_。

8、算术运算符“/”mod”、“rem”可综合的分母/底必须是__2___的乘方。

9、vhdl的数据对象有:_(变量)__信号)__常数)__

二、选择题:(每题2分,共20分)

1、type week is(sun,mon,tue,wed,thr,fri,sat); week的数据类型是( d )

a)字符 (b)bit (c)std_logic (d)枚举。

2、vh语言优先级最高的运算符是( c ):

a)and (b)or (c)not (d)xor

3、变量不能使用的程序结构部分是( a ):

a)结构体 (b)进程 (c)函数 (d)过程。

4、变量不能使用的程序结构部分是( a ):

a)实体 (b)进程 (c)函数 (d)过程。

5、能在进程之间传递信息的数据对象是( c ):

a)常量 (b)变量 (c)信号 (d)文件。

6、a已定义为信号,b已定义为变量,下面正确的表达是:( b )

a)a:=b (b)a<=b (c)b:=a (d)b<=a

7、signal a:bit; signal b:bit_vector(1 downto 0);下面正确的表达式是:(c )

a)b<=a (b)a<=b (c)a<=b(0d)a:=b(0)

8、signal a,b:bit; signal y:bit_vector(1 downto 0);下面正确的表达式是:( d )

a)y<=a (b)y<=b (c)y<=b and a (d)y<=b&a

9、常量的正确格式是:( b )

a)constant vcc:real=5.0 ; b)constant vcc:real:=5.0 ;

c)constant vcc real=5.0 ; d)constant vcc:=5.0 ;

10、a的初值为0;执行语句a<=a+1;a<=a+1; a<=a+1;后,a的值为( b )

a)0 (b)1 (c)2 (d)3

三、编程题(共60分)

1.下面程序是十六进制编码键盘的描述,试补充完整。(10分)

library ieee;

use entity hcoder is

port(x,y:instd_logic_vector(3 downto 0);

s : out std_logic_vector(3 downto 0));

end hcoder;

architecture struc of hcoder is

beginlibrary__ieee___

use ieee._std_logic_1164__.all;

entity cnt4e is

___port___clk,ena:in std_logic;

cout:out std_logic;

q:buffer integer range 0 to 15);

end___cnt4e___

architecture___one of cnt4e is

beginprocess(clk,ena)

(_begin _)

if clk'event and clk='1' then

if ena='1' then

if q=15 then q<=0;

cout<=_0 )_

elsif q=14 then q<=q+1;

cout<='1';

else q<=_q+1)__

end if;

end if;

end if;

end __process )_

end __one )_

2.分别用case语句和if语句设计3线—8线译码器(20分)

3.用vhdl语言编写8位加法器的程序,要求首先设计一个全加器,然后用元件例化语句设计8位加法器的程序(20分)

4.用vhdl语言编写两位bcd数加法器。(15分)

2019EDA试卷 有答案

电子科技大学2011 2012学年第 2 学期期末考试卷。课程名称 数字系统eda技术 考试形式 一页纸开卷考试日期 2012年5月日考试时长 120分钟。课程成绩构成 平时 10 期中 0 实验 30 期末 60 本试卷试题由 5 部分构成,共 8 页。一 选择题 共20分,共10题,每题2分 1...

EDA试卷A答案

课程名称 eda技术考试时间 110 分钟 课程 8400070试卷总分 100 分。一 填空题参 及评分标准 本大题共6小题10空,每空3分,总计30分 评分标准 填对一空得3分,不填或填错得0分。参 1 电子设计自动化 现场可程序门阵列。mhz 3 acex1k 45 功能 6 hdl语言 原理...

EDA课后答案

p93 3 7 library ieee use entity h suber is port x,y in std logic diff,s out out std logic end entity architecture hdlarch of h suber is begin process ...