黄河科技学院EDA大作业

发布 2022-09-02 21:17:28 阅读 3663

eda作业。

学院:信息工程学院。

专业:13级电子信息。

班级:xx姓名 :xxx

学号:xxx

数字时钟设计。

1)具有时、分、秒计数显示功能,以24小时循环计时。

2)时钟计数显示时有led灯的花样显示。

3)具有调节小时、分钟及清零的功能。

4)具有整点报时功能。

1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分。

—60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。

2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1hz的,所以每led灯变化一次就来一个脉冲,即计数一次。

3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。

4)蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答。滴答”的报警声音。

5)led灯在时钟显示时有花样显示信号产生。即根据进位情况,led不停的闪烁,从而产生“花样”信号。

1、方案选择。

方案一:根据总体方框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用vhdl语言设计,顶层文件用原理图的设计方法。

显示:小时采用24进制,而分钟均是采用6进制和10进制的组合。

方案二:根据总体方框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用vhdl语言设计,顶层文件用原理图的设计方法。

显示:小时采用24进制,而分钟和秒均60进制。

终上所述,考虑到试验时的简单性,故我选择了方案二。

根据自顶向下的方法以及各功能模块的的功能实现上述设计方案应系统细化框图:

1、秒计数器。

1)vhdl语言描述程序见附录。

2)秒计数器的**波形图。

3)波形分析。

利用60进制计数器完成00到59的循环计数功能,当秒计数至59时,再来一个时钟脉冲则产生进位输出,即enmin=1;reset作为复位信号低电平有效,即高电平时正常循环计数,低电平清零。因为这种60进制的vhdl语言是很好写的,它并不复杂,再说我们必须要学会这些基本的硬件语言的描写。

2、分钟计数器。

1)vhdl语言描述程序见附录。

2)分钟计数器的**波形图。

3)波形分析。

小时计数模块利用24进制计数器,通过分钟的进位信号的输入可实现从00到23的循环计数。

3、小时计数器。

1)vhdl语言描述程序见附录。

2)小时计数器的**波形图。

3)波形分析。

小时计数模块利用24进制计数器,通过分钟的进位信号的输入可实现从00到23的循环计数。

4、整点报时报警模块

1)vhdl语言描述程序见附录。

2)整点报时模块**波形图。

1) 波形分析。

由图知对于整点报时模块,当分钟计数至59时来一个时钟脉冲则产生一个进位信号,分钟计数到00,此时产生报警信号持续一分钟。当有时钟脉冲时lamp显示灯就闪烁轮续点亮。

1、数字时钟系统原理图。

2、数字时钟系统波形图**。

1、小时计数器vhdl语言源程序(底层文件)

library ieee;

use use

entity hour is

port(clk,reset:in std_logic;

daout:out std_logic_vector(5 downto 0));

end entity hour;

architecture fun of hour is

signal count:std_logic_vector(5 downto 0);

begindaout<=count;

process(clk,reset)

beginif(reset='0')then count<="000000"; 若reset=0,则异步清零。

elsif(clk'event and clk='1')then否则,若clk上升沿到。

if(count(3 downto 0)="1001")then ——若个位计时恰好到“1001”即9

if(count<16##)then23进制。

count<=count+7若到23d则。

elsecount<="000000复0

end if;

elsif (count<16##)then ——若未到23d,则count进1

count<=count+1;

else否则清零。

count<="000000";

end if; —end if(count(3 downto 0)=“1001”)

end ifend if(reset=‘0’)

end process;

end fun;

2、分钟计数器vhdl语言源程序(底层文件)

library ieee;

use use

entity minute is

port(clk,clk1,reset,sethour:in std_logic;

enhour:out std_logic;

daout:out std_logic_vector(6 downto 0));

end entity minute ;

architecture fun of minute is

signal count :std_logic_vector (6 downto 0);

signal enhour_1, enhour_2: std_logic; —enmin_1为59分时的进位信号。

beginenmin_2由clk调制后的手动调时脉冲信号串。

daout<=count;

enhour_2<= sethour and clk1); sethour为手动调时控制信号,高电平有效。

enhour<= enhour_1 or enhour_2);

process(clk,reset,sethour)

begin

if(reset='0') then ——若reset为0,则异步清零。

count<="0000000";

elsif(clk'event and clk='1')then ——否则,若clk上升沿到。

if(count (3 downto 0) =1001")then——若个位计时恰好到“1001”即9

if(count <16##) then ——又若count小于16##,即60

if(count="1011001") then——又若已到59d

enhour_1<='1'; 则置进位为1

count<="0000000"; count复0

elsecount<=count+7; —若count未到59d,则加7,即作“加6校正”

end if; —使前面的16##的个位转变为8421bcd的容量。

elsecount<="0000000";—count复0(有此句,则对无效状态电路可自启动)

end if; —end if(count<16##)

elsif (count <16##) then

count<=count+1; —若count<16##则count加1

enhour_1<='0' after 100 ns; —没有发生进位。

elsecount<="0000000"; 否则,若count不小于16## count复0

end if; —end if(count(3 downto 0)=“1001”)

end if; —end if(reset=‘0’)

end process;

end fun;

3、秒钟计数器vhdl语言源程序(底层文件)

library ieee;

use use

entity second is

port( clk,reset,setmin:std_logic;

苏州科技学院gis作业

一 交通便捷性评价。打开chp04 练习数据 评价基础数据 评价基础数据。mxd,得到如上图。右键选择道路图层,选择打开属性表,选择类型为省道,点击应用,省道的数据就被选中了。选择工具箱下的多环缓冲工具,这样设置好点击确定,省道缓冲区就完成了。省道缓冲区。县道缓冲区的操作类似直接给出相应步骤截图。县...

安徽科技学院试卷档案

二 六 二 七学年度第二学期。课程名称中级财务会计系 教研室 学院管理学院专业财务会计教育级 2004 班 班。跟班考试重修生姓名财务会计教育专业2002级 班张。三 李四。主讲教师陈继东阅卷教师陈继东 应考人数 36实考人数35缓考人数1缺考人数1 缺考学生姓名王五 缓考 违纪学生姓名张三 代考 ...

xx科技学院试卷档案

封面规范 样本 要求 二 六 二 七学年度第一学期。课程名称中级财务会计系 教研室 管理学院财务会计教育专业 2005 级 班。重修生姓名财务会计教育专业2004级 班张。三 李四 考试时间 2007年 1 月 12日。主讲教师陈继东阅卷教师陈继东 试题 a 试卷库。b 系 教研室 组织命题 a卷 ...