EDA大作业

发布 2020-02-25 07:13:28 阅读 6164

eda技术课程大作业。

设计题目:双二选一多路选择器。

学生姓名:王行智。

学号:201002050002

专业班级:电气自动化技术一班。

2012 年 5 月 26日。

1.设计背景:

在很多时候,要完成一项工作,需要进行两次判断和选择,对于机器和人都是如此,这就需要两个选择器,双2选1多路选择器就应运而生了。

设计方案:如图表1

结构:两个2选1选择器相连,两个2选1选择器都有3个输入,1个输出。

原理:进行两次选择。第一次:

对于mux21a1,s=’0’时,y<=’a’,s=’1’时,y<=’b’,然后把输出y与mux21a2的b连在一起。元件之间的连接用例话语句来实现。这样就实现了两次选择。

图表 1-二选一多路选择器原理图。

2.方案实施 :

mux21a:

library ieee;

use entity mux21a is

port(a,b,s: in std_logic;

y: out std_logic);

end entity mux21a;

architecture one of mux21a is

beginprocess(a,b,s)

beginif s='0' then y<=a;

else y <=b;

end if ;

end process;

end architecture one;

muxk:library ieee;

use entity muxk is

port(a1,a2,a3,so,s1:in std_logic;

outy :out std_logic);

end entity muxk;

architecture mk1 of muxk is

component mux21a

port(a,b,s :in std_logic;

y :out std_logic);

end component;

signal tmp :std_logic;

beginu1:mux21a port map (a=>a2,b=>a3,s=>so,y=>tmp);

u2:mux21a port map (a=>a1,b=>tmp,s=>s1,y=>outy);

end architecture mk1;

3.结果:图表2- 二选一多路选择器波形图。

结论:经过对波形图的分析,可知结果与设计方案完全相符,所以用两个2选1选择器组成的2选1多路选择器是成功的,可以实现预想的功能。

EDA大作业

eda技术课程大作业。设计题目 4线 16线译码器设计。学生姓名。学号。专业班级 09电子信息1班 2012年5月26日。4线 8线译码器设计。1.设计背景与设计方案。1.1设计背景。译码器是组合逻辑电路的一个重要器件,它是一种将一种编码转换为另一种编码的逻辑电路,在编码时,每一种二进制 都赋予了特...

EDA大作业

一 设计方案。1 设计一个汽车尾灯控制器,利用eda软件 max plusii 进行编译及 设计输入可采用vhdl硬件描述语言输入法和原理图输入法,通过 查看设计的可行性,因条件有限,只能进行简单的 实验。2 设计要求。1 汽车尾部左右两侧各有多盏指示灯。2 汽车正常行驶时指示灯都不亮。3 汽车右转...

EDA大作业

eda技术 课程大作业。项目名称 实用电路 分析设计。专业班级 微机 10 学号 100507121 姓名 汪丛华。连云港职业技术学院信息工程学院。2011年 12月日。项目一 项目名称 阻容耦合放大电路测试。项目要求 1.分析的基本设置 2.掌握常用的高级 分析方法。项目设计准备分析 1.掌握电路...