成绩: eda课程设计报告。
课程 eda课程设计
专业。学号。
姓名。指导教师。
日期 2012.06.21
机械与电子信息学部。
一、设计任务与要求。
1. 任务:制作并调试eda学习板。
2. 了解并能识别学习板上的各种元器件,会读元器件标示;
3. 会看电路原理图;
4. 制作eda学习板;
5. 编写vhdl程序进行跑马灯控制。
6. 编写vhdl程序进行步进电机的转速控制。
7. 学会使用quartusⅱ软件**调试程序;
8. 用调试程序将学习板调试成功。
二.课程设计目的。
通过编写vhdl程序,结合硬件电路设计,实现跑马灯和步进电机的转速控制。经过本次课程设计,能够将所学专业理论知识进行综合实际运用,锻炼学生的动手能力以及提高学生的综合专业素质。
三、实验内容。
1. 芯片工作电路,利用晶振提供控制信号。
2. 10引脚**口与芯片相关引脚相连完成**电路。。
3. 利用16个开关做成键盘,实现输入号对已编程的芯片的控制并通过数码管显示0--f。
4. 用2片74hc573n具有锁存功能芯片与8个数码管相连,通过编程实现位选和段选实现输出信号的显示功能。
5. ,和控制步进电机。
6. 信号结合led输出显示的功能。
7. 利用1片74hc573n芯片与8个共阴极led实现跑马灯功能。
四.课程设计器材清单。
五.课程设计硬件电路。
六.程序。library ieee;
use use
entity cc is
port(clk: in std_logic;
bj:out std_logic_vector(3 downto 0);
start:in std_logic;
kbcol:in std_logic_vector(3 downto 0);
kbrow:out std_logic_vector(3 downto 0);
seg1_out:out std_logic_vector(3 downto 0);
seg2_out:out std_logic_vector(3 downto 0);
qq_out:out std_logic_vector (7 downto 0);
_out:buffer std_logic_vector(7 downto 0);
sk:in bit);
end;architecture one of cc is
type btype is(s0,s1,s2,s3);
signal current_state,next_state:btype;
signal count: std_logic_vector(1 downto 0);
signal sta: std_logic_vector(1 downto 0);
signal seg1: std_logic_vector(3 downto 0);
signal seg2: std_logic_vector(3 downto 0);
signal fn: std_logic;
signal ks:std_logic_vector(1 downto 0);
signal qq: std_logic_vector (7 downto 0);
signal :std_logic_vector (7 downto 0);
signal sp1,sp2:std_logic_vector(3 downto 0);
beginpqq:process (clk)
beginif clk'event and clk='1' then
qq<=;
end if;
end process;
qq_out<=qq;
reg:process ())
beginif )'event and )='1'then
current_state <=next_state;
end if;
end process reg;
com:process (current_state)
begincase current_state is
when s0=> bj<="0011";next_state <=s1;
when s1=> bj<="1001";next_state <=s2;
when s2=> bj<="1100";next_state <=s3;
when s3=> bj<="0110";next_state <=s0;
when others=>next_state <=s0;
end case;
end process com;
process(clk)
beginif clk'event and clk='1'then count<=count+1;
end if;
end process;
process(clk)
beginif clk'event and clk='1'then
case count is
when "00"=>kbrow<="0001";sta<="00";
when "01"=>kbrow<="0010";sta<="01";
when "10"=>kbrow<="0100";sta<="10";
when "11"=>kbrow<="1000";sta<="11";
when others=>kbrow<="1111";
end case;
end if;
end process;
process(clk,start)
beginif start='0'then seg1<="0000";seg2<="0000";
elsif clk'event and clk='1'then
case sta is
when "00"=>
case kbcol is
when "0001"=>seg1<="0000";seg2<="0001";
when "0010"=>seg1<="0000";seg2<="0010";
when "0100"=>seg1<="0000";seg2<="0011";
when "1000"=>seg1<="0000";seg2<="0100";
when others=>seg1<="1111";seg2<="1111";
end case;
when "01"=>
case kbcol is
when "0001"=>seg1<="0000";seg2<="0101";
when "0010"=>seg1<="0000";seg2<="0110";
when "0100"=>seg1<="0000";seg2<="0111";
when "1000"=>seg1<="0000";seg2<="1000";
when others=>seg1<="1111";seg2<="1111";
end case;
when "10"=>
case kbcol is
when "0001"=>seg1<="0000";seg2<="1001";
when "0010"=>seg1<="0001";seg2<="0000";
when "0100"=>seg1<="0001";seg2<="0001";
when "1000"=>seg1<="0001";seg2<="0010";
when others=>seg1<="1111";seg2<="1111";
end case;
when "11"=>
EDA课程设计报告
eda 课程设计报告。时间 2014年12月21日 学院自动化。专业班级自1204 姓名。学号。指导教师王丽君老师 成绩。2014年 12月。目录。卷烟机烟支漏气跟踪自检装置设计 1 一 设计背景及设计目的 3 1.1设计背景 3 1.2设计目的 3 二 设计原理 3 三 设计步骤 5 3.1模块分...
EDA课程设计报告
设计一个出租车自动计费器,计费包括起步价 行车里程计费 等待时间计费三部分,用四位数码管显示金额,最大值为999.9元,最小计价单元为 0.1元,行程 3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元。用两位数码管显示总里程,最大为99公里。...
EDA课程设计报告
课程名称eda技术。设计项目多功能数字钟。系 部 班级姓名学号 指导老师日期2010 06 09 电子系电子仪器仪表与维修仪表z082王叁少安老师。1 数字钟的设计要求。多功能数字钟具有计时功能和时钟的校时基本功能。计时功能采用24小时计时,显示时,分,秒。采用时校时,对于分钟和秒模块采用60进制实...