EDA课程设计报告

发布 2022-09-30 20:53:28 阅读 2382

eda技术与verilog hdl

课程设计报告。

学院:信息科学与工程学院。

专业:电子信息科学与技术。

班级:09(2)班。

姓名:古建华

学号:3090748208

1、 设计一个简单的时钟电路,具有时,分,秒的数码显功。

能,可以进行时间值设置(直接通过输入设置时间值)。

要求:描述电路工作原理,写出hdl程序,并进行波形**,同时对所得波形进行分析。

一、电路工作原理。

以标准时钟信号clk为输入信号,每一个周期使second(秒)计数器值加1;当second为59时,下一个时钟信号使其清零并产生一个进位信号使minute(分)计数器值加1;当second为59时,minute为59分时,下一个时钟信号使其清零并产生一个进位信号使hours(时)计数器值加1,当second为59时,minute为59分,hours为23时时,下一个时钟信号使全部计数器清零。

en为使能控制端口,为低电平有效,开始计数;en高电平暂停计时。

按一次en1,tem=1,此时按plus或minus调整分。

按两次en1,tem=2,此时按plus或minus调整时。

按三次en1,tem=3,执行default语句,给tem赋值,使tem=0

en为低电平时,再次以调整后的时间开始计时,流程图如下图:

具体输入输出端口以及相关功能如下:

/clk为标准时钟信号。

/clr为清零信号,为低电平有效。

/en为使能控制端口,为低电平有效。

/en1为调整时钟控制端,为低电平有效。

/plus为加信号输入端,为低电平有效。

/minus为减信号输入端,为低电平有效。

/tem为中间变量,借以判断调时或者调分。

/hours为小时输出信号,minute为分钟输出信号,second为秒输出信号。

相关功能:clr低电平时钟清零即:00:00:00

en低电平开始计时(从00:00:00开始计时)

en高电平暂停计时。

按一次en1,tem=1,此时按plus或minus调整分。

按两次en1,tem=2,此时按plus或minus调整时。

按三次en1,tem=3,执行default语句,给tem赋值,使tem=0

en为低电平时,再次开始计时。

二、源程序**如下:

module sz(clk,en,en1,clr,plus,minus,hours,minute,second);

input clk,en,en1,clr,plus,minus;

output[7:0]hours,minute,second;

reg[7:0]hours,minute,second;

reg[2:0] tem;

always@(posedge clk or negedge en )

beginif(!clr)

begin hours[7:0]<=8'h00;minute[7:0]<=8'h00;second[7:0]<=8'h00;tem<=0;end

elsebegin

if(!en)

beginif(second[3:0]==4'd9)

beginsecond[3:0]<=4'd0

if(second[7:4]==5)

beginsecond[7:4]<=4'd0

if(minute[3:0]==4'd9

beginminute[3:0]<=4'd0;

if(minute[7:4]==5)

begin

minute[7:4]<=4'd0;

if(hours[7:4]==2)

beginif(hours[3:0]==4'd3)

beginhours<=8'h00;

end else hours[3:0]<=hours[3:0]+1'b1;

endelse

beginif(hours[3:0]==4'd9)

beginhours[3:0]<=4'd0hours[7:4]<=hours[7:4]+1'b;

endelse hours[3:0]<=hours[3:0]+1'b1;

endend

else minute[7:4]<=minute[7:4]+1'b1;

end else minute[3:0]<=minute[3:0]+1'b1;

endelse second[7:4]<=second[7:4]+1'b1;

endelse second[3:0]<=second[3:0]+1'b1;

endelse

beginif(!en1)

begintem<=tem+1'b1;

endcase(tem)

0:begin hours[7:0]<=hours[7:

0];minute[7:4]<=minute[7:4]; second[7:

0]<=second[7:0];

end1:begin

if(!plus)

beginif(minute[3:0]==4'd9

beginminute[3:0]<=4'd0;

if(minute[7:4]==5)

begin

minute[7:4]<=4'd0;

endelse minute[7:4]<=minute[7:4]+1'b1;

end else begin minute[3:0]<=minute[3:0]+1'b1; end

endif(!minus)

beginif(minute[3:0]==4'd0

beginminute[3:0]<=4'd9;

if(minute[7:4]==0)

begin

minute[7:4]<=4'd5;

EDA课程设计报告

eda 课程设计报告。时间 2014年12月21日 学院自动化。专业班级自1204 姓名。学号。指导教师王丽君老师 成绩。2014年 12月。目录。卷烟机烟支漏气跟踪自检装置设计 1 一 设计背景及设计目的 3 1.1设计背景 3 1.2设计目的 3 二 设计原理 3 三 设计步骤 5 3.1模块分...

EDA课程设计报告

设计一个出租车自动计费器,计费包括起步价 行车里程计费 等待时间计费三部分,用四位数码管显示金额,最大值为999.9元,最小计价单元为 0.1元,行程 3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元。用两位数码管显示总里程,最大为99公里。...

EDA课程设计报告

课程名称eda技术。设计项目多功能数字钟。系 部 班级姓名学号 指导老师日期2010 06 09 电子系电子仪器仪表与维修仪表z082王叁少安老师。1 数字钟的设计要求。多功能数字钟具有计时功能和时钟的校时基本功能。计时功能采用24小时计时,显示时,分,秒。采用时校时,对于分钟和秒模块采用60进制实...