控制EDA课程设计

发布 2022-09-30 20:52:28 阅读 5614

电气工程系。

课程设计任务书。

电气自动化专业班级。

设计(实训)题目控制eda课程设计

学生姓名 xxx 学号 xxxxxxx

指导教师xxx

2023年 x 月 xx 日。

目录。一、课程设计的目的。

二、课程设计内容和要求。

三、protel 99se软件设计及印制板。

1.电路原理图的设计。

2.绘制元器件。

3.元器件的封装。

4.pcb印制板及参数设计。

5.元器件清单。

6..附图(原理图、印制板图)

四、实践设计。

1.方案论证。

2. max+plusⅱ软件介绍。

3.通用十进制加法器(动态扫描显示)

4.编程。5.**。

6.总体框图。

五、总结。六、参考文献。

一、课程设计的目的。

1.通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力;

2.掌握protel软件的使用方法,能熟练运用该软件设计电路;

3.学会用数字电子器件组成复杂系统的方法。

二、课程设计内容和要求。

一)protel 99 se综合设计。

画出附图1所示电路原理图并设计出印制板图。

设计要求:1)使用双层电路板;

2)电源、地线铜膜线的宽度为50mil;

3)一般布线的宽度为12mil;

4)布线的线间距离为12mil;

5)元器件排列整齐、面板美观、使用方便。

二)实践设计要求:

1、通过我们所学习的ewb、maxplus ii等软件实现电路**,验证所设计电路的正确性。

2、画出原理图、**图或者编写程序。

3、每人一组,写出设计报告。

三)、protel 99 se综合设计。

1.电路原理图的设计。

1、电路原理图设计最基本的要求是正确性,其次是布局合理,最后在是正确性和布局合理的前提下力求美观。电路原理图设计过程如下:

1)启动原理图设计服务器。

进入protel 99 se,创建一个数据库,执行菜单file/new命令,从框中选择原理图服务器(schematic document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。

打开我的电脑,在e盘里新建一个文件夹,命名为“xx”。

打开protel 99se软件,点击file/new,出现new design database 对话框,选择保存路径为f:\xx,database file name 为xx,点击ok。

双击document,点击file/new/schematic document,进入原理图的设计。

2)设置原理图设计环境。

执行菜单design/options和tool/preferences,设置图纸大小、捕捉栅格、电气栅格等。

执行菜单design/options,选中sheet options选项卡进行图纸设置。其中标准图纸格式(standard style)选项是用来设置图纸尺寸的,用鼠标左键单击下方的下拉列表框激活该选项,可选定图纸大小,这里把图纸大小为a4。

执行view/*******s/wiring tools 可以打开绘制电路原理图工具。

3)装入所需的元件库。

在设计管理器中选择browse sch页面,在browse区域中的下拉框中选择library,然后单击add/remove按钮,在弹出的窗口中寻找protel 99 se子目录,在该目录中选择library\sch路径,在元件库列表中选择所需的元件库,比如miscellaneous devices ddb,ti databook库等,单击add按钮,即可把元件库增加到元件库管理器中。打开设计管理器,选择browse sch选项卡,单击[add/remove]按扭添加元件库,屏幕出现添加/删除元件库对话框。在design explorer 99 se\library\sc**件夹下选中元件库文件,然后双击鼠标或点击[add]按扭,将元件库文件添加到库列表中,添加库后单击[ok]按扭结束添加工作。

4)放置元件。

根据实际电路的需要,到元件库中找出所需的元件,然后用元件管理器的place按钮将元件放置在工作平面上,再根据元件之间的走线把元件调整好。单击绘制电路原理图工具上的放置元件按扭,出现对话框,其中lib ref框中输入需要放置的元件名称;designator框中输入元件标号;part type 栏中输入标称值或元件型号;footprint 框用于设置元件的封装形式,所有内容输入完毕,单击[ok]按扭确认。

5)原理图布线。

利用protel 99 se提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一个完整的电路原理图。连接原器件,电路原理图如图一所示:

图一。6)编辑和调整。

利用protel 99 se 所提供的各种强大的功能对原理图进一步调整和修改,以保证原理图的美观和正确。同时对元件的编号、封装进行定义和设定等。

7)检查原理图。

使用protel 99 se 的电气规则,即执行菜单命令tool/rec对画好的电路原理图进行电气规则检查。若有错误,根据错误情况进行改正。

2.绘制元器件。

在电路原理图中点击design/生成方案库, 在第四象限绘制元件外形,然后执行菜单place/pins,进入放置元件管脚状态,其中name是设置管脚的名称;number为设置管脚号。点击add进行添加。绘制元器件的图如下图所示。

3.元器件的封装。

1)点击file/new,选择pcb library document,单击tools/library options/layers,把可视栅格设置为100mil,点击ok。

2)在topoverlay层中绘制元件封装,元件封装如下图所示。其中图三为光敏电阻和microphone2的封装;图四为晶闸管的封装;图五为桥的封装。

图三。图四。

图五。在元件封装时,通常会出现一下一些错误,如下所示:

1) 机械错误。

a 悍盘大小选择不合适,尤其是悍盘的内径选择太小,元件引脚无法插进悍盘。

b 悍盘间的间距以及分布与实际元件不符,导致元件无法在封装上安装。

c 带安装定位孔的元件未在封装中设计定位孔,导致元件无法固定。

d 封装的外形轮廓小于实际元件,可能出现由于布局时元件安排比较紧张,导致元件排的太挤。

e 接插件的出线方向与实际元件的出线方向不一致,造成焊接时无法调整。

f 丝印层的内容放置在信号层上,导致元件悍盘无法连接或短路。

2)电气错误。

a 电路原理图元件的引脚编号与元件封装的悍盘编号不一致。

b 悍盘编号定义过程**现重复定义。

以上错误可以通过编辑悍盘编号的方式解决)

双面板。(1)创建网络表。

点击design/创建网络表/ok。

(2)加载网络表。

点击file/new/wizards/printed circuit board wizard/ok/next/custom made board(选中metric)/next/width为60mm,height为50mm,下方的复选框取消/next…/through-hole components(选择 two track)/next…/finsh。点击design/加载网络表。然后在弹出的窗口中单击browse按钮,再在弹出的窗口中选择电路原理图设计生成的网络表文件(扩展名为net),如果没有错误,单击execute。

若出现错误提示,必须更改错误。

3)元器件布局。

protel 99 se既可以进行自动布局也可以进行手工布局,执行菜单命令tools/auto placement/auto placer可以自动布局。布局是布线关键性的一步,为了使布局更加合理,多数设计者都采用手工布局方式。

(4)自动布线。

执行菜单命令auto routing/all,并在弹出的窗口中单击route all按钮,程序即对印刷电路板进行自动布线。只要设置有关参数,元件布局合理,自动布线的成功率几乎是100%。手工调整自动布线结束后,可能存在一些令人不满意的地方,可以手工调整,把电路板设计得尽善尽美。

打印输出印刷电路板图执行菜单命令file/print/preview,形成扩展名为ppc的文件,再执行菜单命令file/print job,就可以打印输出印刷电路板图。

(5)设置参数。

执行菜单命令design/rules,左键单击routing按钮,根据设计要求,在规则类(rules classes)中设置参数。

选择width constraint,对地线线宽进行设置:左键单击add按钮,进入线宽规则设置界面,首先在rule scope区域的filter kind选择框中选择net,然后在net下拉框中选择gnd,再在rule attributes区域将minimum width、maximum width和preferred三个输入框的线宽设置为50mil;

电源线宽的设置:在net下拉框中选择vcc,其他与地线线宽设置相同;

整板线宽设置:在filter kind选择框中选择whole board,然后将minimum width,maximum width和preferred三个输入框的线宽设置为12mil。

pcb双面版。

5.清单。四、实践设计

1、方案论证。

以传统的数字电路实验相比为例,max+plusii提供原理图输入设计功能具有显著的优势:

能进行任意层次的数字系统设计。传统的数字电路实验只能完成单一层次的设计,使得设计者无法了解和实现多层次的硬件数字系统设计;

对系统中的任一层次,或任一元件的功能能进行精确的时序**,精度达0.1ns ,因此能发现一切对系统可能产生不良影响的竞争冒险现象;

通过时序**,能对迅速定位电路系统的错误所在,并随时纠正;

能对设计方案作随时更改,并储存入档设计过程中所有的电路和测试文件;

通过编译和编程**,能在fpga或cpld上对设计项目随时进行硬件测试验证。

彩灯控制 EDA课程设计

eda 课程设计。说明书。设计题目彩灯控制器 系别 电子信息与控制工程学院。专业班级 电子111 学生姓名。学号 201100303018 指导教师 吴艳。日期 2014年6月1日。1.设计目的。学习eda开发软件的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。2.设计题目描述和要...

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...