EDA课程设计

发布 2022-09-30 19:25:28 阅读 7090

班级:**

姓名:**学号:**

交通灯设计。

1. 交通灯设计基本要求。

2. 交通灯的总设计图(gdf)

3. 计数控制模块的设计(gdf)

4. 灯控模块的设计核心(移位寄存器)

5. 灯控模块的灯的显示(scf)

6. 实验总结。

1.交通灯设计基本要求。

设计一个交通信号控制灯,要求信号灯的时间由数码管进行显示。具体要求:

1)东西方向和南北方向每次放行30秒,只设计一方即可。

2)绿灯亮表示可以放行,红灯亮表示禁止通行。

3)每次红黄灯交替时黄灯都会亮3秒。

4)要求红灯和绿灯亮时都要由数码管作减一计数的显示,而黄灯亮时数码管显示00即可。

5)在计数器回零瞬间完成通行状态的转换。

2交通灯的gdf图如下:

图1图2移位寄存器。

3计数控制模块实现模为三十和模为三依次输出。

4图2中的sibuyiweiaaa:移位寄存器实现abcd依次输出1,其他的输出0来对灯控制。图1中译码器和三态门以下部分为灯控电路。

5灯控电路的scf如下:

依次是:shangsheng(用拨码输出一个上升沿),clk,lv(绿),huang,hong,从而实现绿黄红黄——绿黄红黄顺序输出。

6实验总结。

通过课程设计掌握了一些eda方面设计技巧和基本知识,初步掌握运用maxplusⅱ进行一些简单的模块的设计,并复习了关于数字电路方面的知识。理论联系实际是相当重要的,光有理论知识是远远不够的,我们必须理论联系实际,才能更好的消化所学的知识。

附录:实现模为三十与三的交替循环。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...