EDA课程设计

发布 2022-09-30 19:23:28 阅读 5868

电气自动化专业 08电气 1 班级。

设计(实训)题目控制eda课程设计

学生姓名孙友飞学号 26

指导教师鲍老师

2023年 9 月 3 日。

目录。一课程设计目的3

二protel 99se综合设计3

1.电路原理图设计3

2.新建与放置元件3

3.元件封装的定义设定4

4.生成网络表6

5.印制路板的设计6

5.1.规划电路板6.

5.2 设置参数6

5.3装入网络表7.

5.4元器件布局7

5.5 自动布线7

5.6 手工调整自动布线7

三实践设计8

1 内容:自动可乐售货机8

2.要求8软件选择与论证8

软件的使用9

4.1文本编辑9

4.2原理图编辑14

4.3波形编辑15

四心得体会18

参考文献18

一课程设计目的

1. 学习掌握protel ,ewb和muxplus 2设计实用电路的方法,能熟练运用这些软件设计电路

2. 通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使我们具有一定的实践操作能力。

二 protel 99 se综合设计

1>电路原理图设计

进入protel 99se ,创建一个数据库,执行菜单file/new命令选择schematic document 图标,双击该图标,建立原理图设计文档,执行菜单design/options和tool/preferences,设置图纸大小a4、捕捉栅格、电气栅格等。 (电路原理图见附录一)

2>新建与放置元件。

到元件库中找出所需的元件,然后用元件管理器的place按钮将元件放置在工作平面上,按住tap键,对元件属性进行设置,名称,标号。标称值或元件型号以及元件封装,单击ok键即可,再根据元件之间的走线把元件调整好。

对于该原理图中的元件要求新建一个元件,单击design生成方案库,再单击tools选择新建元件,命名。在新建元件界面的第四象限进行绘制新元件,这里得注意管脚的编号与之后封装的焊盘号要一致最。

后单击update schematics就可以应用到原理图中了。

3>元件封装的定义与设定。

所示原理图中要求自制封装scr,microphone,bridge和光敏电阻。这里所需注意的是封装要在topoverly层中。

单击file-new,选择pcb library document主要是要看元件所示管脚,有几个管脚即放置几个焊盘。画完后单击update pcb即可应用。

scr封装

microphone封装。

bridge的封装。

光敏电阻的封装。

4>生成网络表。

网络表是电路原理图设计和印刷电路板设计之间的桥梁,执行菜单命令design/create netlist可以生成具有元件名、元件封装、参数及元件之间连接关系的网络表。

5>印制路板的设计。

执行菜单file/new命令,从框中选择(pcb document)图标,双击该图标,建立pcb设计文档。双击文档图标,进入pcb设计服务器界面。

1.规划电路板。

根据要设计的电路确定电路板的尺寸100mm*150mm。选取keep out layer复选框,执行菜单命令place/keepout/track,绘制电路板的边框。执行菜单design/options,在“signal lager”中选择bottom lager,把电路板定义为双层面板。

2.设置参数。

执行菜单命令design/rules,左键单击routing按钮,根据设计要求,在规则类(rules classes)中设置参数。

选择width constraint,对地线线宽进行设置:左键单击add按钮,进入线宽规则设置界面,首先在rule scope区域的filter kind选择框中选择net,然后在net下拉框中选择gnd,再在rule attributes区域将minimum width、maximum width和preferred三个输入框的线宽设置为50mil;

电源线宽的设置:在net下拉框中选择vcc,其他与地线线宽设置相同;

整板线宽设置:在filter kind选择框中选择whole board,然后将minimum width,maximum width和preferred三个输入框的线宽设置为12mil。

3.装入网络表。

执行菜单design/load nets命令,然后在弹出的窗口中单击browse按钮,再在弹出的窗口中选择电路原理图设计生成的网络表文件(扩展名为net),如果没有错误,单击execute。若出现错误提示,必须更改错误。

4.元器件布局。

protel 99 se既可以进行自动布局也可以进行手工布局,执行菜单命令tools/auto placement/auto placer可以自动布局。

5.自动布线。

执行菜单命令auto routing/all,并在弹出的窗口中单击route all按钮,程序即对印刷电路板进行自动布线。只要设置有关参数,元件布局合理,自动布线的成功率几乎是100%。

6.手工调整自动布线 (印制电路板图见附录二)

三实践设计。

1 内容:自动可乐售货机。

用两个发光二极管分别模拟售出面值为3元和5元的可乐,购买者可通过开关选择一种面值的可乐,灯亮时表示可乐售出。用开关分别模拟1元,5元和10元人民币投入,用发光二极管分别代表着回剩余的硬币。

2.要求:每次只能售出1罐可乐,当所投硬币达到或超过购买者所选面值时,售出1罐可乐,并找回剩余的人民币,回到初始状态;当所投人民币不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。

软件选择与论证。

mux+plusii提供了强大的功能,能直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库。该软件能进行任意层次的数字系统设计,对系统中的任意层次,或任意元件的功能进行精确的时序**;通过编译和编程**,能在fpga或cpld上对设计项目随时进行硬件测试验证。。。而像ewb,protel传统的数字电路实验利用手工连线完成元件连接,容易对我们产生误会,以为只要将元件间的引脚用引线按电路图连上即可,而不必顾及引线的长短,粗细,弯曲方式。

软件的使用。

1)文本编辑。

进入编辑vhdl语言界面,屏幕上用鼠标点击file选项,此时出现子菜单如图所示

由于是输入新文件,故点击子菜单中的new项,接着屏幕出现new的对话框如图12-3所示。

12-3图。

选择第三项,点击对话框的ok按钮,屏幕将会出现一个无名的编辑窗口,如图12-4所示。

在无名的文本编辑窗口下输完程序后点击file下的s**e,在屏幕上会弹出一个对话框,如图所示在filename中输入文件名,文件名必须跟程序中的工程名一致,文件的扩展名为。vhd,存放在e:下的**(班级及自己的名字必需用英文)文件夹下面。

文本编辑如下:

library ieee;

use use

entity solda is

port (m1,m5,m10:in std_logic; -模块solda

t3,t5:in std_logic;

reset:in std_logic;

clk: in std_logic;

s3,s5:out std_logic;

ch: out std_logic_vector(4 downto 0) )

end solda;

architecture sold_arc of solda is

begin

process(clk,m1,m5,m10,t3,t5,reset)

variable money:std_logic_vector(4 downto 0) ;

variable a:std_logic;

variable cnt:integer range 0 to 60;

beginif clk'event and clk='1'then

if a='1'then

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...