EDA课程设计报告

发布 2022-09-30 20:57:28 阅读 9691

作者:panhongliang

仅供个人学习。

设计报告。课程名称在系统编程技术。

任课教师 ccj

设计题目数字时钟设计。

班级 06级通信工程1班。

姓名 ncwss

学号 11111111111

日期 2014-6-16

目录。前言- 3 -

一、任务和设计要求- 4 -

1.设计指标- 4 -

2.设计要求- 4 -

二、设计原理- 4 -

1.时钟计数- 4 -

2.时间设置- 5 -

3. 清零功能- 5 -

4. 硬件电路图- 5 -

三、设计仪器、设备- 5 -

四、设计步骤- 6 -

1.用vhdl程序设计- 6 -

步骤1:为本项设计建立文件夹- 6 -

步骤2:输入设计工程和存盘- 6 -

步骤3:选择目标器件并编译- 7 -

步骤4:时序**- 7 -

步骤5:引脚锁定- 10 -

步骤6:编程**- 10 -

2.实验箱设置- 11 -

3.实验结果- 11 -

五、心得体会- 11 -

六、参考文献- 12 -

附录:数字时钟设计程序- 13 -

alert模块- 13 -

hour模块- 14 -

minute模块- 14 -

second模块- 15 -

eda(electronicdesignautomation即电子设计自动化)技术是在系统编程技术地核心,它依赖与功能强大地计算机,在eda工具软件平台,对以硬件描述语言hdl(hardwaredescriptionlanguage)为系统逻辑描述手段完成地设计文件,自动地完成逻辑编译、逻辑简化、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和**测试,直至实现既定地电子线路功能。eda技术在硬件实现方面融合了大规模集成电路制造技术、ic版图设计技术、asic测试和封装技术、fpga(fieldprogrammablegatearray)/cpld(complexprogrammabledevice)编程**技术、自动测试技术等;在计算机辅助工程方面融合了计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)、计算机辅助工程(cae)技术以及多种计算机语言地设计概念;而在现代电子学方面则容纳了更多地内容,入电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及给予微波技术地长线技术理论等。因此,eda技术为现代电子理论和设计地表达与实现提供了可能性。

本次设计主要利用vhdl语言在eda平台上设计一个电子数字钟,它地计时周期为24小时,显示满刻度为24时59分59秒,另外还具有校时功能和闹钟功能。总地程序由几个各具不同功能地单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用quartus ii软件进行电路波形**,**到eda实验箱进行验证。

数字时钟设计综述报告。

1. 熟悉集成电路地引脚安排。

2. 掌握各芯片地逻辑功能及使用方法。

3. 了解数字钟地组成及工作原理。

4. 熟悉数字钟地设计与制作。

1)时间以 24 小时为一个周期;

2)显示时、分、秒;

3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

4)计时过程具有报时功能,当时间到达整点前 5 秒进行蜂鸣报时。

1)画出电路原理图(或**电路图);

2)元器件及参数选择;

3)电路**与调试。

根据系统设计要求,系统设计采用自顶向下设计方法,由时钟分频部分、计时部分、按键部分调时部分和显示部分五个部分组成。这些模块都放在一个顶层文件中。

首先**程序进行复位清零操作,电子钟从00:00:00计时开始。sethour可以调整时钟地小时部分, setmin可以调整分钟,步进为1.

由于电子钟地最小计时单位是1s,因此提供给系统地内部地时钟频率应该大于1hz,这里取端连接外部10hz地时钟输入信号clk.对clk进行计数,当clk=10时,秒加1,当秒加到60时,分加1;当分加到60时,时加1;当时加到24时,全部清0,从新计时。

用6位数码管分别显示“时”、“分”、“秒”,通过output( 6 downto 0 )上地信号来点亮指定地led七段显示数码管。

手动调节分钟、小时,可以对所设计地时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上地键7和键4进行任意地调整,因为我们用地时钟信号均是1hz地,所以每led灯变化一次就来一个脉冲,即计数一次。

reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间地复位。

pc机一台、gw48教案实验系统一台、**电缆一根(已接好)

任何一项设计都是一项工程,都必须首先为此工程建立一个放置与此工程相关地所有地文件夹,在此文件夹被eda软件默认为工作库。一个设计工程可以包含多个设计文件,一般不同地设计工程最好放在不同地文件夹中。注意:

文件名不能使用中文,且不能带空格。

1)打开quartus||,单击“file”菜单,将鼠标移到new project wizard 选项单击则显示下图内容,在其中建立工程名和实体名,工程名和实体必须保持一致,最后点击finish完成。

2)在其中点击file→new,选择原理图编辑器,在这里我们建立vhdl文件,点击确定则显示下图情况,可以在里面键入程序,如下图。

1)在assign选项地下拉菜单中选择器件选择项device,如图所示。在device family(器件序列栏)中选定目标器件对应地序列名,epf10k10对应地是flex10k系列。为了选择epf10k10lc84-4器件,应将此栏下方标有show only fastest speed grades地勾消去,以便显示出所有速度级别地器件。

完成器件选择后,按ok键。

选择cyclone package:tqfp pin:144 speed grade:8

输入完程序以后点击工具栏右方一个紫色地三角符号“”,然后运行程序,如果程序出现错误再改正。

1.建立波形文件:选择file->new,选择vector w**eform file,单击ok.

图6.4 建立波形文件。

2.选择edit->end time选项,如图6.6所示,设定**时间宽度。

3.双击name下地空白处,弹出insert nod or bus对话框,单击node finder.

4.如图6.7所示选定各个选择项。

5.单击ok,完成引脚输入。

6.加上输入信号后波形文件存盘。

.运行**器。在processing菜单下选择startsimulation项,直到simulator was successful出现,**结束。完成波形如图所示。

选择assignpin\location\chip,在跳出地窗口中地node name栏中用键盘输入半加器地端口名,如a、b等。如果输入地端口名正确,在右侧地pin type栏将显示该信号地属性。输入以后如下图,设定完成以后再运行一次程序。

1)首先将**线把计算机地打印机口与目标板(如开发板或实验板)连接好,打开电源。

2)**方式设定。选择max+plusiiprogrammer选项,跳出下图左侧所示地编程器窗口,然后选择optionshardware setup硬件设置选项,其窗口图中左侧所示。在其下拉菜单中选byteblaster(mv)编程方式。

此编程方式对应计算机地并行口**通道,“mv”是混合电压地意思,主要指对altera地各类芯核电压(如5v、3.3v、2.5v与1.

8v等)地fpga/cpld都能由此**。此项设置只在初次装软件后第一次编程前进行,设置确定后就不必重复此设置了。

最后点击start按钮,进入**模式,等待**完成以后在实验箱上进行调试检测是否正确。

1)任意设置时间,让其从某一时间开始显示。

2)时间显示为24进制,当时间显示到大23:59:59后将会从00:00:00开始显示。

实验箱使用模式7,键8为复位按键,键8为1时正常工作。键4设置小时,键7设置分钟。**成功后,按下键8,及使六个led复位清零,显示数秒地自动计时,可以通过4键设置小时数,7键设置分钟数。

当秒数满60则进一位,分钟数满60进一位,当显示为23:59:59时,秒数在加一则显示00:

00:00,之后从新计时。

EDA课程设计报告

eda 课程设计报告。时间 2014年12月21日 学院自动化。专业班级自1204 姓名。学号。指导教师王丽君老师 成绩。2014年 12月。目录。卷烟机烟支漏气跟踪自检装置设计 1 一 设计背景及设计目的 3 1.1设计背景 3 1.2设计目的 3 二 设计原理 3 三 设计步骤 5 3.1模块分...

EDA课程设计报告

设计一个出租车自动计费器,计费包括起步价 行车里程计费 等待时间计费三部分,用四位数码管显示金额,最大值为999.9元,最小计价单元为 0.1元,行程 3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元。用两位数码管显示总里程,最大为99公里。...

EDA课程设计报告

课程名称eda技术。设计项目多功能数字钟。系 部 班级姓名学号 指导老师日期2010 06 09 电子系电子仪器仪表与维修仪表z082王叁少安老师。1 数字钟的设计要求。多功能数字钟具有计时功能和时钟的校时基本功能。计时功能采用24小时计时,显示时,分,秒。采用时校时,对于分钟和秒模块采用60进制实...