班级:小组成员。
一、设计目的。
1. 掌握各类计数器及将它们相连的方法;
2. 掌握多个数码管动态显示的原理与方法;
3. 掌握用fpga技术的层次化设计方法;
4. 进一步掌握用vhdl硬件描述语言的设计思想;
5. 了解有关数字系统的设计。
6. 提高电路排版以及焊接能力。
二、设计要求。
1、三路抢答器。
1) 三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。
2) 抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。
3) 系统应该有一个总复位开关。
小时制的数字钟程序。
1) 24小时计数显示,时、分、秒用六个数码管显示;
2) 具有校时功能(时,分);
3) 附加闹钟功能。
三、实验设备及其技术指标。
1、三路抢答器。
1)使用的器件主要有74ls00、发光二级管、74ls20、按键式开关、电阻。
2) 三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。
3)系统应该有一个总复位开关。
小时制的数字钟程序。
开发环境max—plusii,zy11eda13be 试验系统, vhdl 语言。
四、实验原理以及原理图。
1、三路抢答器。
小时制的数字钟。
1) 设计原理。
数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分为模60计数器,小时是模24计数器,分别产生3位bcd码。bcd码经译码,驱动后接数码管显示电路。
秒模60计数器的进位作为分模60计数器的时钟,分模60计数器的进位作为模24计数器的时钟。
为了实现手动调整时间,在外部增加了setm(调整分),seth(调整时)按键,当这两个按键为低电平时,电路正常计时,当为高电平时,分别调整分,时。
2) 设计原理图。
3)设计程序。
library ieee;
use use
entity szz is
port (clk,clk1,md1:in std_logic;
md2:in std_logic_vector(1 downto 0);
speak:out std_logic;
dout: out std_logic_vector(6 downto 0);
selout:out std_logic_vector(2 downto 0));
end szz;
architecture one of szz is
signal sel: std_logic_vector(2 downto 0);
signal hou1: std_logic_vector(3 downto 0);
signal hou2: std_logic_vector(3 downto 0);
signal min1: std_logic_vector(3 downto 0);
signal min2: std_logic_vector(3 downto 0);
signal sec1: std_logic_vector(3 downto 0);
signal sec2: std_logic_vector(3 downto 0);
signal seth1: std_logic_vector(3 downto 0);
signal seth2: std_logic_vector(3 downto 0);
signal setm1: std_logic_vector(3 downto 0);
signal setm2: std_logic_vector(3 downto 0);
signal data:std_logic_vector(23 downto 0);
beginchoice:process(clk1)
beginif clk1'event and clk1='1' then
if sel ="101" then sel<="000";
else sel<=sel+1;
end if ;
end if;
end process choice;
小时十位。h110:process(clk,hou2,min1,min2,sec1,sec2,md1,md2)
beginif clk'event and clk='1' then
if (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001")
and (sec1="0101" and sec2="1001") then
hou1<="0000";
elsif hou1="0010"and hou2="0011"and md1='0' and md2="01" then--当时间为23点且处于校时状态时。
hou1<="0000";
elsif (hou2="1001"and(min1="0101" and min2="1001")
and (sec1="0101" and sec2="1001"))or (hou2="1001"and md1='0' and md2="01")
thenhou1<=hou1+1;
end if;
end if;
end process h110;
小时个位。h220:process(clk,min1,min2,sec1,sec2,md1,md2,hou1)
beginif clk'event and clk='1' then
if (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001")
and (sec1="0101" and sec2="1001") then
hou2<="0000";
elsif hou2="1001"and(min1="0101" and min2="1001")
and (sec1="0101" and sec2="1001") then
hou2<="0000";
elsif (hou2="1001"and md1='0' and md2="01")
or (hou1="0010"and hou2="0011") then
hou2<="0000";-md<='1';
elsif ((min1="0101" and min2="1001") and (sec1="0101" and sec2="1001"))
or (md1='0' and md2="01") then
hou2<=hou2+1;--speak<=clk;
end if;
end if;
end process h220;
分钟十位。m110:process(clk,min2,sec1,sec2,md1,md2)
beginif clk'event and clk='1' then
if (min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") then
min1<="0000";
elsif min1="0101"and min2="1001"and (md1='0' and md2="00")then
min1<="0000";
elsif (min2="1001"and (sec1="0101" and sec2="1001"))
or (min2="1001"and md1='0' and md2="00")then
min1<=min1+1;
end if;
end if;--end if;
end process m110;
分钟个位。m220:process(clk,sec1,sec2,md1,md2)
beginif clk'event and clk='1' then
if min2="1001"and (sec1="0101" and sec2="1001")then
min2<="0000";
elsif min2="1001"and (md1='0' and md2="00")then
min2<="0000";
else if (sec1="0101" and sec2="1001") or(md1='0' and md2="00")then
min2<=min2+1;
end if;
end if;end if;
end process m220;
秒十位。s110:process(clk,sec2)
beginif clk'event and clk='1' then
if (sec1="0101" and sec2="1001")then
sec1<="0000";
else if sec2="1001"then
sec1<=sec1+1;
end if;
end if;end if;
end process s110;
秒个位。s220:process(clk)
数字电子技术课程设计报告
数。字。电。子。技。术。课。程。设。计。报。告。题目 数字钟的设计与制作。姓名 何美美。专业 通信工程 指导教师 王庆现。时间 2009 12 26 目录。1.设计目的3 2.设计要求3 2.1设计指标3 2.2设计要求3 2.3制作要求3 2.4编写设计报告3 3设计原理及框图4 3.1数字钟的构...
数字电子技术课程设计报告
题目 数字钟的设计与制作 学年。学期 专业班级 学号姓名 指导教师及职称 时间 地点 设计目的。熟悉集成电路的引脚安排。掌握各芯片的逻辑功能及使用方法。了解面包板结构及其接线方法。了解数字钟的组成及工作原理。熟悉数字钟的设计与制作。设计要求。1.设计指标。时间以24小时为一个周期 显示时,分,秒 有...
数字电子技术课程设计报告
课程设计成果说明书。题目 多功能数字钟的设计 学生姓名 王炜翀 学号 111311124 学院 东海科学技术学院 班级 c11电信 指导教师 冯燕尔 浙江海洋学院课程设计成绩评定表。20 12 20 13学年第 2 学期。学院东海科学技术学院班级 c11电信专业电子信息工程。浙江海洋学院课程设计任务...