数字电子技术课程设计

发布 2022-10-05 16:11:28 阅读 5242

目录。一设计任务及要求。

二总体设计方案

三控制电路设计

3.1 控制电路工作原理。

3.2控制电路设计过程。

四倒计时电路设计。

4.1具有同步置数功能的十进制减法计数器设计。

4.2主干道和支干道倒计时电路设计。

五译码显示电路设计。

5.1动态显示工作原理。

5.2动态显示及译码电路设计。

六总体电路设计。

6.1总体电路。

6.2电路工作说明。

七总体电路**调试。

八改进意见及收获体会

参考文献 一、设计任务及要求。

1.设计一个用于十字路口的交通灯控制器。能显示十字路口东西、南北两个方向的红、黄、绿的指示状态。

2.具有倒计时功能。用两组数码管作为东西和南北方向的倒计时显示,主干道每次放行(绿灯)60秒,支干道每次放行(绿灯)45秒,在每次由绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡。

3.黄灯每秒闪亮一次。

2、总体设计方案。

交通灯控制器的功能框图。

三.控制电路设计。

3.1、控制电路工作原理。

设主干道绿灯、黄灯、红灯分别为g1、y1、r1;支干道绿灯、黄灯、红灯别为g2、y2、r2,并且均用0表示灭,1表示亮,则交通灯有如下四种输出状态:

3.2.、控制电路设计过程。

采用4位二进制计数器74161实现控制器的四个状态循环。当倒计时计数值为01时t1=1,作为7161的计数使能信号,使控制器从当前状态转入下一个状态。因此,计数值01可以作为控制器状态装换的条件t1=1.

同时也可以产生同步置数信号,将下一状态的计数初值置入计数器。

1)状态转换图。

2)由状态表得表达式:

g 1 = q b·q a= m 0 = y 0

y 1 = q b·q a= m 0 = y 0

r 1 = q b·q a +q b·q a = m 2 · m 3 = y 2 ·y 3

g 2 = q b·q a= m 2 = y 2

y 2 = q b·q a= m3 = y 3

r 2 = q b·q a +q b·q a = m 0 · m 1 = y 0 ·y 1

3)电路图。

四、倒计时电路设计。

4.1具有同步置数功能的十进制减法计数器设计。

一)状态表。

(1)ldn=1时:

通过卡诺图分别求解驱动方程d3d2d1d0

2)ldn=0时: d3d2d1d0=dcba

二)电路图。

4.2主干道和支干道倒计时电路设计。

一)原理。当主干道或者支干道减法计数器值为01时,产生同步置数信号,将下一状态计数初值置入。

二)电路图。

五、译码显示电路设计。

5.1动态显示工作原理。

eda实验板上一共有8个数码管,如果按照传统的数码管驱动方式,则需要8个七段译码器和64个i/o口进行驱动,这样就会浪费大量的资源。所以最常见的数码管驱动电路为动态扫描显示。

数码扫描原理: 利用人眼的视觉暂留效应,把多个数码管按一定顺序进行点亮(显示)。当点亮的频率(即扫描频率)不大时,人眼看到的是数码管一个个的一次点亮,然而扫描频率足够大时,看到的不再是一个一个地点亮,而是全部同时点亮。

5.2动态显示及译码电路设计。

一)**。-bcd_7seg

library ieee;

use use

entity bcd_7seg is

port(in_data:in std_logic_vector(3 downto 0);

out_data:out std_logic_vector(7 downto 0)

end bcd_7seg;

architecture rt1 of bcd_7seg is

beginprocess(in_data)

begincase in_data is

when "0000"=>out_data<="00111111";

when "0001"=>out_data<="00000110";

when "0010"=>out_data<="01011011";

when "0011"=>out_data<="01001111";

when "0100"=>out_data<="01100110";

when "0101"=>out_data<="01101101";

when "0110"=>out_data<="01111100";

when "0111"=>out_data<="00000111";

when "1000"=>out_data<="01111111";

when "1001"=>out_data<="01100111";

when others=>out_data<="00000000";

end case;

end process;

end rt1;

二)电路图。

六、总体电路设计。

6.1总体电路。

本次设计采用alter公司fpga芯片。

芯片引脚锁定:

rst:163

cp:78cps:79

g1,y1,r1:36,37,38

g2,y2,r2:39,40,41

s[7..0]:132,131,128,127,126,125,122,121

sel1,sel0:47,46

6.2电路工作说明。

由同步时钟对控制器和倒计时计数器进行脉冲控制,然后控制器对红绿灯的亮、暗进行控制操作,而倒计时计数器,通过显示扫描和译码电路,输入数码管,进行显示,然后显示出倒数的数字,方便阅读。

七、总体电路**调试。

8.改进意见及收获体会

一个半星期的课程设计过程中我受益良多,学到了许多平日理论课上所没有的知识。平时看课本时很多知识学的时候能记住,但过一段时间就会忘得一干二净了。做完课程设计,很多问题就迎刃而解了。

而且还可以记住很多东西。比如一些芯片的功能,通过动手实践让我们对各个元件的作用有了更深的理解。在此期间我们也经历过各种困难,比如对软件的不熟悉,对原理的不理解,但通过一次次的努力都逐步克服了这些困难,这些都加强了我们动手、思考和解决问题的能力。

这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,在老师的辛勤指导下都得以解决。最后感谢给过我帮助的所有同学和指导老师,使我学到了很多课内学不到的东西。

数字电子技术课程设计

课题一 多功能数字钟。1.设计要求 数字钟的功能要求 基本功能。以数字形式显示时 分 秒的时间,为节省器件,其中秒的个位用发光二极管指示,小时的十位亦用发光二极管指示,灯亮为 1 灯灭为 0 小时计数器的计时要求为 12翻1 要求手动快速校时 校分或慢校时 慢校分。扩展功能。定时控制,其时间自定 仿...

数字电子技术课程设计

目录。一 设计目的。二 内容及要求。三 设计思想。四 单元电路的设计 参数计算 器件选择及介绍 一 电源部分。二 单脉冲产生部分。三 译码驱动显示部分。四 控制部分及循环加减计数部分。五 总体电路设计图 工作原理及元器件清单 六 硬件电路安装 调试测试结果,出现的问题 原因及解决方法。七 总结设计电...

数字电子技术课程设计

本科生课程设计。题目 数字钟的设计。课程 数字电子技术课程设计。专业 电气工程及其自动化。班级 学号 姓名 指导教师 完成日期。目录。一 设计内容与设计要求 2 二 设计要求 3 三 设计思路 3 3.1总体设计方案 3 3.2 原理框图 4 四 单元电路设计 4 4.1时钟振荡电路 4 4.2计数...