EDA课程设计

发布 2022-09-30 20:22:28 阅读 1589

广东海洋大学2010 ——2011学年第一学期。

eda 》课程考试设计答卷纸。

注意:1、须在限定的日期、时间之前交卷;2、设计程序、**图打印稿附在卷后上交;3、其他答案可以打印在本答卷纸上;4、原理图可以粘贴在本答卷纸上;

一、 请写出自己设计项目(控制器)名称。

踏步机计数电路。

二、 请描述本设计项目(控制器)的功能和性能(5分);

该项目为踏步机计数电路,主要是用来计算运动者在仪器上的踏步次数,让运动者最终清楚地了解到自己的运动量,从而使运动者达到健美减压和燃烧脂肪的良好效果。

该电路主要由计数器和七段显示器组成,当运动者踏下一步时,电路会产生一个高电平,使得计数器(个、十、百、千位)运行加1,显示电路便会相应地显示,进位累加显示,从而让运动者能够很好地了解到自己的运动情况。

三、 画出本设计项目(控制器)的电原理图(5分);

成功编译后,生成两个电路图a和b

电路图a电路图b

四、 打印的vhdl设计程序及注释(40分);

见试卷后面的附录1

五、 打印的**波形(如:quartus软件)(40分);

见试卷后面的附录2

六、 列出参考文献(5分);

谭会生主编《eda技术基础》 湖南大学出版社。

焦素敏主编《eda应用技术》 清华大学出版社。

七、 总结自己的设计(5分);

经过长时间的对课本研究和对数字电路的复习,总算能完成老师布置的作业课题,但结果不能让自己满意。

单单是课本,我已经花了很长的时间去看去理解,但理解起来还是很困难,也很乱,感觉自己不能吃透那些语句的使用方法。另外一个方面,在使用软件上,我也遇上了难题,本来是使用老师提供的max plus2软件,但不知道是不是自己电脑win7系统的兼容性问题,使用不了,连简单的保存操作也不行,无奈之后只能使用另外一个quartus软件,几个同学之间商量其使用方法。

总的来说自己对eda这门科目的掌握程度还不够,运用vhdl语言编辑程序时遇到很多的问题,怎样去定义计数器,怎样去定义显示器,一开始完全找不到头绪,感觉很抽象,但在其他同学的帮忙下,总算能勉强的运用vhdl语言编辑出计数控制的程序出来,但编译的时候出现很多的warning,但不知道应该怎样去修改,生怕修改了一点点会影响到其他的程度,从而生成多余的error,这样就无法生成电路图。

我的课题是踏步机计数电路,主要是由计数器和显示器两部分构成。在同学的帮助下,我成功编译,也生成了对应的电路图出来,图像也是比较的复杂,线路也很繁琐 ,但在**那部分,我遇上了真正的难题,我不能成功地**波形,我的输出端口分为个位、十位、百位、千位,但最后能生成**波形的也只有个位,其他数位显示不了波形,单单只有一个个位的波形生成,对此我比较费解,努力修改后还是得不出结论,所以只能生成一个个位的**波形。

设计下来以后,总的来说虽然设计不能完全成功,但在整个过程里面自己还是学到了很多东西,收获还是挺多的。

附录1---vhdl设计程序及注释。

library ieee;use entity counter is计数器实体说明

port( en:in std_logic定义端口。

clean:in std_logic; clk:in std_logic; s_ge:

out std_logic_vector(6 downto 0); s_shi:out std_logic_vector(6 downto 0); s_bai:out std_logic_vector(6 downto 0); s_qian:

out std_logic_vector(6 downto 0) )end entity counter结束实体定义

architecture beh** of counter is --定义结构体。

signal ge: std_logic_vector(3 downto 0); signal shi: std_logic_vector(3 downto 0); signal bai:

std_logic_vector(3 downto 0); signal qian:std_logic_vector(3 downto 0); begin process(clk敏感信号clk

begin if en='0'then使能端为0工作。

else if clk='1'and clk'event then ge<=ge+1个位加1

end if; end if; if ge="1010"then shi<=shi+1

ge<="0000十位加1后,个位清零

end if; if shi="1010"then bai<=bai+1; shi<="0000百位加1后,十位清零

end if; if bai="1010"then qian<=qian+1; bai<="0000千位加1后,百位清零。

end if; if qian="1010"then qian<="0000千位为10后,自动归零

end if; if clean='1'then ge<="0000"; shi<="0000"; bai<="0000"; qian<="0000清零信号为1时,全部数位归零。

end if; end process; process(ge显示ge位 begin case ge is when "0000"=>s_ge<="0111111"; when "0001"=>s_ge<="0000110"; when "0010"=>s_ge<="1011011"; when "0011"=>s_ge<="1001111"; when "0100"=>s_ge<="1100110"; when "0101"=>s_ge<="1101101"; when "0110"=>s_ge<="1111101"; when "0111"=>s_ge<="0000111"; when "1000"=>s_ge<="1111111"; when "1001"=>s_ge<="1101111"; when others=>s_ge<="0000000"; end case; end process; process(shi显示shi位 begin case shi is when "0000"=>s_shi<="0111111"; when "0001"=>s_shi<="0000110"; when "0010"=>s_shi<="1011011"; when "0011"=>s_shi<="1001111"; when "0100"=>s_shi<="1100110"; when "0101"=>s_shi<="1101101"; when "0110"=>s_shi<="1111101"; when "0111"=>s_shi<="0000111"; when "1000"=>s_shi<="1111111"; when "1001"=>s_shi<="1101111"; when others=>s_shi<="0000000"; end case; end process; process(bai显示bai位 begin case bai is when "0000"=>s_bai<="0111111"; when "0001"=>s_bai<="0000110"; when "0010"=>s_bai<="1011011"; when "0011"=>s_bai<="1001111"; when "0100"=>s_bai<="1100110"; when "0101"=>s_bai<="1101101"; when "0110"=>s_bai<="1111101"; when "0111"=>s_bai<="0000111"; when "1000"=>s_bai<="1111111"; when "1001"=>s_bai<="1101111"; when others=>s_bai<="0000000"; end case; end process; process(qian显示qian位 begin case qian is when "0000"=>s_qian<="0111111"; when "0001"=>s_qian<="0000110"; when "0010"=>s_qian<="1011011"; when "0011"=>s_qian<="1001111"; when "0100"=>s_qian<="1100110"; when "0101"=>s_qian<="1101101"; when "0110"=>s_qian<="1111101"; when "0111"=>s_qian<="0000111"; when "1000"=>s_qian<="1111111"; when "1001"=>s_qian<="1101111"; when others=>s_qian<="0000000"; end case; end process; end beh**;

附录2---**波形。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...