EDA课程设计

发布 2022-09-30 20:21:28 阅读 7112

摘要。vhdl作为一种规范的硬件描述语言,被广泛的运用于电路的设计之中,本设计主要针对日常生活中十字路**通灯控制,通过fpga芯片来实现交通信号灯控制电路的设计而做出的研究。本文采用vhdl 硬件描述语言描述了十字路**通信号灯电路,并完成了对电路的功能**。交通灯的循环点亮方式采用状态机的设计思路,实现环形计数器的功能。

输出部分通过组合逻辑电路实现。系统控制主要由计数器、控制器、分频器、分位译码器、和扫描显示电路等组成。通过输入输出接口控制红绿灯发光二极管的亮灭和七段数码管的显示。

与传统的设计方式相比,本设计由于采用了fpga芯片来实现,它将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的功率密集度、可靠性和工作速度。

关键词:现场可编程门阵列、硬件描述语言、交通灯、状态机、环形计数器。

abstract

vhdl as a standard of hardware description language, is widely used in the circuit design. this design is mainly aiming at the crossroads traffic lights control in daily life, through the fpga chip to realize the traffic lights control circuit design and research. in this article, the vhdl hardware description language describes the intersection traffic light circuit, and completed the function of circuit simulation.

the traffic light cycle light way using the state machine design train of thought, to realize the function of the annular counter. output part through the assembly logic circuit implementation. system control mainly by the counter, controller, frequency division device, points a decoder, and scanning display circuit etc.

through the input/output interface control traffic lights led light destroyed and seven section of pipe that digital. compared with the traditional design methods, the design with fpga chips to realize, it will be a lot of circuit function integration to a chip, and can be by the user to design logical function, improve the system of power consumed, reliability and the speed of work.

keywords: fpga hardware description language traffic lights state machine ring counter

目录。第一章问题分析 2

第二章总体方案设计 4

2.1方案分析 4

2.1方案状态机控制设计 4

2.3 整体设计方案 15

第三章软硬件电路的设计 16

3.1 各模块的原理设计 16

3.1.1 时钟模块设计 17

3.1.2 交通灯控制及计时模块 17

3.1.3 扫描显示译码模块 17

3.1.4顶层文件的编写 17

3.1.5 顶层原理图 17

3.2 各模块程序及** 16

3.2.1时钟分频模块vhdl程序及** 16

3.2.2交通灯控制及计时模块vhdl程序和** 16

3.2.3 扫描显示译码模块vhdl程序及** 16

第四章整体系统程序及** 18

第五章结论 19

第六章心得体会 19

参考文献 19

第一章问题分析。

在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为了交管部门管理交通的重要手段。那么,要想在十字路口中做到车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊,要靠什么来实现这井然秩序呢?

靠的就是交通信号灯的自动指挥系统。

交通控制系统是近现代社会随着物流、出行等交通发展产生的一套独特的公共管理系统。要保证高效安全的交通秩序,除了制定一系列的交通规则,还必须通过一定的技术手段加以实现。现代电子科学技术的发展和成熟能比较好的解决系统建立中硬软件方面要求的技术难题。

目前,交通控制方面的研究能完全实现自动智能化,甚至将整个区域整合成一个统一的系统范围,还能根据正常时段以及特定突发时段的情况进行科学的自动调整。

传统的交通信号灯控制电路是由振荡电路、三进制计数器、译码电路、显示驱动电路和开关控制电路等电路组成。在本课程设计中,通过eda设计程序使十字路口的工作顺序为主干道方向红灯亮30秒,前25秒为支干道方向绿灯亮,后5秒黄灯亮。然后支干道方向红灯亮50秒,前45秒主干道方向绿灯亮,后5秒黄灯亮,依次重复。

第二章总体方案设计。

2.1 方案分析。

通过分析课程设计的要求可以知道,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口,其主要功能是:主干道处于常允许通行的状态,支干道有车来时才允许通行;当支干道没有车通行时,主干道亮绿灯,而支干道亮红灯,主、支干道的倒计时数码管不显示数字;当支干道有车通行时,进入了主干道和支干道交替通行的情况。

当进入到交替通行时,主干道每次放行45秒,支干道每次放行25秒。首先主干道通行45秒,并且主干道显示45秒的倒计时,此45秒内主干道亮绿灯,当45秒计时结束,主干道亮黄灯5秒,而且显示5秒倒计时,用于绿灯转为红灯作为过渡,使行驶中的车辆有时间停到禁行线外,在主干道亮绿灯和黄灯的50秒内,支干道亮红灯50秒,而且支干道显示50秒的倒计时。然后,当支干道亮红灯50秒结束时,主干道由黄灯转为红灯,并且主干道的红灯亮30秒,显示30秒的倒计时,在此过程中,支干道先亮绿灯25秒,显示25秒的倒计时,25秒过后绿灯转为黄灯,而且黄灯亮5秒,显示5秒倒计时,用于绿灯转为红灯作为过渡,使行驶中的车辆有时间停到禁行线外。

支干道通行结束后,主干道通行,以此交替。

2.2方案状态机控制设计。

将十字路口分成东西走向和南北走向的主干道和支干道。

交通灯的工作明显可以分成5个状态,如下表3.1所示:

表3.1 工作状态表。

2.3 整体设计方案。

根据交通灯控制器的功能与要求,可以把整体设计分为三个模块:分频模块,把2khz的频率分成1hz,1hz用于倒计时计数的时钟信号,而2khz则可以用于数码管扫描显示的片选时钟信号;交通灯控制及倒计时(五个状态的控制)模块;数码管译码扫描显示模块。整体的系统框图如图2.

1所示:

图2.1 系统框架图。

分频模块就是把输入的2khz时钟频率2000分频得到1hz的频率用于数码管倒计时的时钟信号,其原理就是设计一个0到999循环计数的的计数器,当计数溢出,即计数到999时使输出量取反,则输出为0.5秒的高电平和0.5秒的低电平交替出现,就得到了1hz的方波,作为秒倒计时的时钟信号。

交通灯控制及倒计时模块就是五个状态的转换模块,是整个系统的核心模块,其五个状态分别st0、st1、st2、st3、st4。其中st0是当支干道没有车通行的状态,st1是主干道绿灯亮45秒的状态,st2是主干道亮黄灯5秒的状态,st3是支干道亮绿灯25秒的状态,st4是支干道亮黄灯5秒的状态。当主干道亮绿灯和黄灯时,支干道都是亮红灯,当支干道亮绿灯和黄灯时,主干道都是亮红灯,并且主、支干道都会显示亮灯的倒计时时间,主、支干道的红黄绿灯用六个led发光二极管代替。

五个状态图如下图2.2所示:

图2.2 状态图。

数码管倒计时显示,是用四个一体的数码管,分别表示主干道和支干道的秒倒计时,所以是动态扫描显示,扫描的频率直接用2khz的输入时钟频率。

第三章软硬件电路的设计。

3.1 各模块的原理设计。

3.1.1 时钟模块设计。

时钟分频模块就是把输入的2khz时钟频率2000分频得到1hz的频率用于数码管倒计时的时钟信号,其原理就是设计一个0到999循环计数的的计数器,当计数溢出,即计数到999时使输出量取反,则输出为0.5秒的高电平和0.5秒的低电平交替出现,就得到了1hz的方波,作为秒倒计时的时钟信号。

时钟分频模块生成的元件符号如下图3.1所示:

图3.1 时钟分频模块。

clk2khz是频率为为2khz的输入时钟信号,clk1hz是经过2000分频后得到的频率为1hz方波的输出信号。

3.1.2 交通灯控制及计时模块。

此模块是整个系统的核心部分,主要功能是完成五个状态的转换,并且在每个状态里完成相应的控制作用,即控制主干道和支干道的红黄绿灯的点亮和各自数码管倒计时显示。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...