eda课程设计

发布 2022-09-30 19:38:28 阅读 3525

苏州市职业大学。

课程设计说明书。

名称多功能波形产生器。

2024年 7月 4日至2011 年7 月8 日共1 周。

院系电子信息工程系

班级。姓名

学号。系主任。

教研室主任。

指导教师。第一章绪论 2

1.1eda的论述 2

1.1.1 设计方法 2

1.1.2 eda工具软件分类 3

1.1.3 pld设计工具 3

1.1.4 eda软件 4

1.1.5 eda技术的发展趋势 4

1.2 vhdl语言 4

1.2.1vhdl语言的特点 5

第二章课题分析 7

2.1 课题要求 7

2.2课题分析 7

2.3系统设置原理图 9

第三章模块分析 10

3.1正弦波 10

3.2三角波 12

3.3方波 13

3.4 特殊波形 16

3.5 分频 18

3.6时钟输入 19

3.7 输出波形选择器 20

第四章调制结果 21

4.1波形产生 21

4.1.1正弦波 21

4.1.2三角波 22

4.1.3 方波 23

4.1.4特殊波形 24

4.2 引脚设置 25

第五章试验总结(心得体会) 27

附录:参考文献 28

eda是电子设计自动化(electronic design automation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。eda技术就是以计算机为工具,设计者在eda软件平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和**,直至对于特定目标芯片的适配编译、逻辑映射和编程**等工作。eda技术的出现,极大地提高了电路设计的效率和可*性,减轻了设计者的劳动强度。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如cpld、fpga)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了eda技术的迅速发展。

1) 前端设计(系统建模rtl 级描述)后端设计(fpgaasic)系统建模

2) ip 复用

(3) 前端设计

(4) 系统描述:建立系统的数学模型。

(5) 功能描述:描述系统的行为或各子模块之间的数据流图。

(6) 逻辑设计:将系统功能结构化,通常以文本、原理图、逻辑图、布尔表达式来表示设计结果。

7) **:包括功能**和时序**,主要验证系统功能的正确性及时序特性。

eda工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。

目前进入我国并具有广泛影响的eda软件是系统设计软件辅助类和可编程芯片辅助设计软件:protel、altium designer、pspice、multisim10(原ewb的最新版本)、orcad、pcad、lsiiogic、microsim、ise、modelsim、matlab等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与**,同进还可以进行pcb自动布局布线,可输出多种网表文件与第三方软件接口。

pld(programmable logic device)是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:cpld(complex pld)和fpga(field programmable gate array)。

它们的基本设计方法是借助于eda软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或**电缆,由目标器件实现。生产pld的厂家很多,但最有代表性的pld厂家为altera、xilinx和lattice公司。

pld的开发工具一般由器件生产厂家提供,但随着器件规模的不断增加,软件的复杂性也随之提高,目前由专门的软件公司与器件生产厂家使用,推出功能强大的设计软件。下面介绍主要器件生产厂家和开发工具。

其中,pld(可编程逻辑器件)是一种可以完全替代74系列及gal、pla的新型电路,只要有数字电路基础,会使用计算机,就可以进行pld的开发。pld的**编程能力和强大的开发软件,使工程师可以几天,甚至几分钟内就可完成以往几周才能完成的工作,并可将数百万门的复杂设计集成在一颗芯片内。pld技术在发达国家已成为电子工程师必备的技术。

vhdl语言:超高速集成电路硬件描述语言(vhsic hardware deseription languagt,简称vhdl),是ieee的一项标准设计语言。它源于美国国防部提出的超高速集成电路(very high speed integrated circuit,简称vhsic)计划,是asic设计和pld设计的一种主要输入工具。

1) 设计工具的发展。

2) 具有混合信号处理能力。

3) **工具的发展。

4) 综合工具的开发。

vhdl 的英文全名是 very-high-speed integrated circuit hardware description language,诞生于 1982 年。1987 年底,vhdl被 ieee 和美国国防部确认为标准硬件描述语言。

vhdl主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,vhdl的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。vhdl的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是vhdl系统设计的基本点。

vhdl 语言能够成为标准化的硬件描述语言并获得广泛应用 , 它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来 ,vhdl 语言主要具有以下优点:[1]

1) vhdl 语言功能强大 , 设计方式多样

vhdl 语言具有强大的语言结构, 只需采用简单明确的vhdl语言程序就可以描述十分复杂的硬件电路。同时, 它还具有多层次的电路设计描述功能。此外 ,vhdl 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。

vhdl 语言设计方法灵活多样 , 既支持自顶向下的设计方式, 也支持自底向上的设计方法; 既支持模块化设计方法, 也支持层次化设计方法。

2) vhdl 语言具有强大的硬件描述能力

vhdl 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,vhdl 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。vhdl 语言的强大描述能力还体现在它具有丰富的数据类型。

vhdl 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。

3) vhdl 语言具有很强的移植能力

vhdl 语言很强的移植能力主要体现在: 对于同一个硬件电路的 vhdl 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。

4) vhdl 语言的设计描述与器件无关

采用 vhdl 语言描述硬件电路时, 设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的。

优化, 而不需要考虑其他的问题。当硬件电路的设计描述完成以后 ,vhdl 语言允许采用多种不同的器件结构来实现。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...