eda课程设计

发布 2022-09-30 19:37:28 阅读 2290

eda课程设计数字钟(综合课程设计)

一、设计要求(数字钟的功能)

1、具有时、分显示功能(用数码管显示)。以二十四小时循环计时。

2、具有清零,调节小时,分钟的功能。

3、具有整点(正小时)报时同时用多颗led灯花样显示秒的功能。

4、运用多层次化设计方式,底层元件用vhdl编写,顶(最高)层元件用原理图法连线。

5、写出课程设计报告,包括设计源程序**、顶层原理图及必要的文字说明。

二、目的。1、掌握多位计数器相连的设计方法。

2、掌握十进制,六进制,二十**制计数器的设计方法。

3、掌握扬声器的驱动及报时的设计。

4、led灯的花样显示。

5、掌握cpld技术的层次化设计方法。

三、硬件要求。

1、主芯片altera epf10k10lc84-4。

个led灯。

3、扬声器。

位数码显示管。

个按键开关(清零,调小时,调分钟)。

四、实验原理。

在同一cpld芯片上集成了如下电路模块:

1、时钟计数:秒……60进制bcd码计数。

分……60进制bcd码计数。

时……24进制bcd码计数。

同时整个计数器有清零、调时、调分功能。在接近整数时能提供报时信号。

2、扬声器在整点时有报时驱动信号产生(响声持续多长时间?)。

3、led灯按个人口味在整点时有花样显示信号产生。

五、实验内容及步骤。

1、根据电路特点,运用层次设计概念设计。将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口。加深层次化设计概念。

2、了解软件的元件管理深层含义,以及模块元件之间的连接概念,对于不同目录下的同一设计,如何熔合。

六、顶层原理图参考下图所示(模块化设计)

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...