EDA课程设计

发布 2022-09-30 19:35:28 阅读 9664

华中科技大学武昌分校。

实验名称:eda技术与数字。

系统设计实验。

系 (部): 信息科学与技术系。

专业班。姓名。

学号。实验老师: 吴。

时间:2011 年 6 月——2011 年 7 月。

一. quartus的安装。

1、eda\quartusii 5.1\quartusii_51_pc\quartus\

5.1\quartusii_51_pc\quartusii_programmer_

3、eda\quartusii 5.1\quartusii_51_sp1_

4、eda\quartusii 5.1\quartusii51_license\quartusii51_license

把quartusii51_licens下的sys_复制到c:\altera\quartus51\bin\下覆盖同名文件。

把quartusii51_license下的复制到c:\altera\quartus51文件夹下用写字板或记事本打开用全部替换功能把hostid改为你的网卡号网卡号:开始/运行:

cmd 键入ipconfig/all 回车。

physical address即为网卡号。

5、打开quartus ii 5.1环境软件,打开菜单tools-->license setup...

在genneral下的license setup窗口下。

license file:浏览找到在第5步中的文件,然后确定即可。

二. quartus的破解。

1. 程序中运行cmd之后,键入ipconfig/all后回车键 ,记下物理地址(physical address)。

2. 在d盘中打开altera文件夹,再将其中的license文件打开。

3. 在打开的license文件中,用1中的物理地址替换hositid=后所有的内容。

下面以1.2节中project2为例,介绍使用quartus ii 软件自带的**器进行波形**的步骤。

1) 打开project2 项目,新建波形**文件,如图1.29;

图1.29 新建矢量波形文件。

2) 在建立的波形文件左侧一栏中,点击鼠标右键,在弹出菜单中选择 insert node or bus,如图1.30所示;

图1.29 矢量波形文件节点加入。

3) 在出现的图1.30中,选择node finder,将打开node finder 对话框,本试验对输入输出的管脚信号进行**,所以在filter 中选择 pins:all,点击list 按钮,如图1.

31所示;

图1.30 节点加入工具框。

图1.31 node finder 对话框。

4) 在图1.31左栏中选择需要进行**的端口通过中间的按钮加入到右栏中,点击ok,端口加入到波形文件中,如图1.32;

图1.32 加入**节点后的波形图

5) 在图1.32中,选择一段波形,通过左边的设置工具条,给出需要的值,设置完成激励波形,保存后如图1.33所示;

图1.33 设置好激励波形的波形文件

6) 点击快捷按钮,开始**,完成后得到波形如图1.34所示,根据分析,功能符合设计要求。

图1.33 波形**结果。

使用软件:quartus ii)

一、实验目的。

1 通过实验初步了解eda的基本概念。

2 能理解用vhdl语言实现硬件设计的思路。

3 能熟练掌握eda开发软件的使用。

二、实验原理。

在本实验中,8个彩灯共阴接地,阳极分别为fpga的8个i/o相连,i/o输出变化的电平,来控制彩灯的点亮。

三、实验内容与步骤(说明:以下步骤是首次使用该软件做实验时必须要注意的事项)

1 打开quartusⅱ软件,依次点击菜单栏中“file →open project ”,出现图2.1.1对话框);打开eda/light文件夹,在对话框中选择项目light,再点击打开即可;

图 2.1.1

2 在图2.1.2中双击项目管理器中顶层项目lightall,顶层文件打开;

图 2.1.2

3 分别双击原理图表中pin1hz、light模块,打开即可看到源文件:

1)light 模块源程序及相关分析。

library ieee;

use use

entity light is

port(clk1: in std_logic时钟信号。

light: buffer std_logic_vector(7 downto 0):=00000000”);输出

end light;

architecture behv of light is

constant leninteger:=7;

signal banner: std_logic:='0'; 定义信号banner为两种节拍转换信号;

signal clk,clk2: std_logic; -信号clk1,clk2作为辅助时钟。

beginclk<=(clk1 and banner) or (clk2 and not banner);

process(clk1)

beginif clk1'event and clk1='1' thenclk1二分频得clk2clk2<=not clk2;

end if;

end process;

process(clk

variable flag: bit_vector(2 downto 0):=000";

beginif clk'event and clk='1' then

if flag="000" then

light<='1' &light(len downto 1); 顺序循环移位

if light(1)='1' then依次点亮

flag:="001";

end if;

elsif flag="001" then依次熄灭。

light<=light(len-1 downto 0) &0';

if light(6)='0' then

flag:="010";

end if;

elsif flag="010" then

light(len downto 4)<=light(len-1 downto 4)&'1'; 从中间向两边点。

light(len-4 downto 0)<=1'&light(len-4 downto 1);

if light(1)='1' then

flag:="011";

end if;

elsif flag="011" then

light(len downto 4)<=0'&light(len downto 5);-从两边向中间熄。

light(len-4 downto 0)<=light(len-5 downto 0)&'0';

if light(2)='0' then

flag:="100end if;

elsif flag="100" then

light(len downto 4)<=1'&light(len downto 5);-奇偶位循环点亮。

light(len-4 downto 0)<=1'&light(len-4 downto 1);

if light(1)='1' then

flag:="101";

end if;

elsif flag="101" then

light<="00000000";

flag:="110";

elsif flag="110" then从新开始。

banner<=not banner; -banner信号转换,实现第二种节拍。

flag:="000";

end if;

end if;

end process;

end behv;

2)pin1hz 模块源程序及相关分析。

把50mhz系统输入时钟分频得到1hz时钟信号;下文的设计中,会经常用到此模块。

library ieee;

use use

entity pin1hz is

port (clkin : in std_logic;

clkout : out std_logic);

end pin1hz;

architecture a of pin1hz is

beginprocess(clkin)

variable cnttemp : integer range 0 to 49999999;

beginif clkin='1' and clkin'event then

if cnttemp=49999999 then cnttemp:=0;

elseif cnttemp<25000000 then clkout<='1'; 二分频将50mhz直到分成1hz

elseclkout<='0';

end if;

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...