EDA课程设计

发布 2022-09-30 19:34:28 阅读 6709

第一章绪论。

eda技术又叫电子设计自动化。由于它是一门迅速发展的新技术,涉及面广,内容丰富,理解各异,目前尚无统一的看法。eda技术有狭义的eda技术和广义的eda技术之分。

早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到发展的初级阶段。初级阶段的硬件设计大量选用中、小规模标准集成电路。 由于设计师对图形符号使用数量有限,因此传统的手工布图方法无法满足产品复杂性的要求,更不能满足工作效率的要求。

初级阶段的硬件设计是用大量不同型号的标准芯片实现电子系统设计的。随着微电子工艺的发展,相继出现了集成上万只晶体管的微处理器、集成几十万直到上百万储存单元的随机存储器和只读存储器。

伴随着计算机和集成电路的发展,eda技术进入到计算机辅助工程设计阶段。20世纪80年代初推出的eda工具则以逻辑模拟、定时分析、故障**、自动布局和布线为核心,重点解决电路设计没有完成之前的功能检测等问题。利用这些工具,设计师能在产品制作之前预知产品的功能与性能,能生成产品制造文件,使设计阶段对产品性能的分析前进了一大步。

为了满足千差万别的系统用户提出的设计要求,最好的办法是由用户自己设计芯片,让他们把想设计的电路直接设计在自己的专用芯片上。微电子技术的发展,特别是可编程逻辑器件的发展,使得微电子厂家可以为用户提供各种规模的可编程逻辑器件,使设计者通过设计芯片实现电子系统功能。

eda工具的发展,又为设计师提供了全线eda工具。这个阶段发展起来的eda工具,目的是在设计前期将设计师从事的许多高层次设计工作由工具来完成,如可以将用户要求转换为设计技术规范,有效地处理可用的设计资源与理想的设计目标之间的矛盾,按具体的硬件、软件和算法分解设计等。由于电子技术和eda工具的发展,设计师可以在不太长的时间内使用eda工具,通过一些简单标准化的设计过程,利用微电子厂家提供的设计库来完成数万门asic和集成系统的设计与验证。

20世纪90年代,设计师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发(即片上系统集成,system on a chip)。

vhdl的英文全名是very-high-speed integrated circuit hardwaredescription language,诞生于2024年。2024年底,vhdl被ieee和美国国防部确认为标准硬件描述语言 。自ieee公布了vhdl的标准版本,ieee-1076(简称87版)之后,各eda公司相继推出了自己的vhdl设计环境,或宣布自己的设计工具可以和vhdl接口。

此后vhdl在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。2024年,ieee对vhdl进行了修订,从更高的抽象层次和系统描述能力上扩展vhdl的内容,公布了新版本的vhdl,即ieee标准的1076-1993版本,(简称93版)。现在,vhdl和verilog作为ieee的工业标准硬件描述语言,又得到众多eda公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,vhdl于verilog语言将承担起大部分的数字系统设计任务。

vhdl主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,vhdl的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。vhdl的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是vhdl系统设计的基本点。

与其他硬件描述语言相比,vhdl具有以下特点:

功能强大、设计灵活。vhdl具有功能强大的语言结构,可以用简洁明确的源**来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。

vhdl支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言虽不能比拟的。vhdl还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。

支持广泛、易于修改。由于vhdl已经成为ieee标准所规范的硬件描述语言,目前大多数eda工具几乎都支持vhdl,这为vhdl的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用vhdl编写的源**,因为vhdl易读和结构化,所以易于修改设计。

强大的系统硬件描述能力。vhdl具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。

另外,vhdl支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。vhdl支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。

独立于器件的设计、与工艺无关。设计人员用vhdl进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。

很强的移植能力。vhdl是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。

易于共享和复用。vhdl采用基于库(library)的设计方法,可以建立各种可再次利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。

1)与其他的硬件描述语言相比,vhdl具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

2)vhdl丰富的**语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行**模拟。

3)vhdl语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

4)对于用vhdl完成的一个确定的设计,可以利用eda工具进行逻辑综合和优化,并自动的把vhdl描述设计转变成门级网表。

5)vhdl对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

第二章设计要求。

电路要求可以产生方波、正弦波、三角波,波形的频率可调,通过控制开关控制产生的波形,并通过控制按键控制设计信号的频率,改变频率的方法可以采用分频和dds的原理进行控制信号频率。并进行d/a转换电路与滤波电路的设计,通过采用施密特触发器对波形进行整形,设计一频率测量电路对所产生的频率进行测量,通过数码管显示出来,并在数码管上显示当前的波形**。在完成以上设计功能的要求后,设计一特殊信号的产生电路。

第三章系统的设计。

采用由底向上的设计方法,根据系统对硬件的要求,画出系统控制流程图,仔细分析系统要求到达各个功能,将系统的功能进行细化,合理的划分功能模块,并画出系统的功能框图;进行各功能模块计数,运用vhdl语言设计出各个功能模块;在软件环境下导出各个功能框图,再将各个模块按系统要求到达功能连起来,做出系统的原理图;编译、调试完成后,在**到硬件结构,进行硬件调试。

设计模式图如下图3.1所示:

1、在具体设计之前,详细分析设计要求、确定系统输入/输出信号是必要的,只有在明确设计,要求的基础上,才能使系统设计有序地进行。

2.确定整体设计方案

对于一个具体的设计可能有多种不同的方案,确定方案时,应对不同方案的性能、成本、可靠性等方面进行综合考虑,最终确定设计方案。

3.自顶向下(top-down)的模块化设计方法数字系统的设计通常有两种设计方法,一种是自底向上的设计方法,一种是自顶向下的设计方法。自底向上(bottom-up)的设计过程从最底层设计开始。设计系统硬件时,首先选择具体的元器件,用这些元器件通过逻辑电路设计,完成系统中各独立功能模块的设计,再把这些功能模块连接起来,**成完整的硬件系统。

图3.2正弦波。

library ieee;

use use

use entity sin is

port(clk4:in std_logic;

k:in integer range 15 downto 0;

dd4:out integer range 255 downto 0);

end sin;

architecture dacc of sin is

signal q:integer range 63 downto 0;

beginprocess(clk4)

begin

if(clk4'event and clk4='1')then

q<=q+k;

end if;

end process;

process(q)

begin

case q is

when 00=>dd4<=255;

when 01=>dd4<=254;

when 02=>dd4<=253;

when 03=>dd4<=250;

when 04=>dd4<=245;

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...