EDA课程设计

发布 2022-09-30 19:40:28 阅读 1731

专业: 应用电子技术

班级: 2010级(1)班

课程名称:串入/串出移位寄存器。

学号: 201030210121 __

姓名: 邹小丰。

指导老师: 齐海兵

目录。摘要 ..i

1 引言 1.1课程设计的目的 1

1.2 课程设计的内容 1

2 eda、vhdl简介

2.1 eda简介 2

2.2 vhdl 2

2.2.3 vhdl的设计流程 3

3 移位寄存器设计过程

3.1设计规划 4

3.2 各模块工作原理及设计 4

3.2.1移位寄存器的工作原理 4

3.2.2串入并出(sipo)移位寄存器的设计 4

3.2.3 8位串入并出(sipo)移位寄存器的设计 5

4 系统**

4.1 4位串入串出(siso)移位寄存器**图分析 7

4.2 8位串入串出(siso)移位寄存器**图分析 7

5 结论。5.1 对本设计有缺点的分析 8

5.2结论与心得 8

参考文献 9

系统使用eda技术设计了具有移位功能的寄存器,采用硬件描述语言vhdl进行设计,然后进行编程,时序**等。软件基于vhdl语言实现了本设计的控制功能。本设计根据移位寄存器的功能主要设计的是8位串入串出(siso)移位寄存器。

整个设计过程简单,使用方便。功能齐全,精度高,具有一定的开发价值。

关键词:eda;vhdl;移位寄存器。

随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,移位寄存器作为计算机的一个重要部件,从先前的只能做简单的左移或右移功能的寄存器到现在广泛应用的具有寄存**、实现数据的串行-并行转换、数据运算和数据处理功能的移位寄存器。移位寄存器正在向着功能强,体积小,重量轻等方向不断发展,本设计主要介绍的是一个基于超高速硬件描述语言vhdl对移位寄存器进行编程实现。

近年来,集成电路和计算机应用得到了高速发展,现代电子设计技术已迈入一个崭新的阶段,具体表现在:(1)电子器件及其技术的发展将更多地趋向于为eda服务;(2)硬件电路与软件设计过程已高度渗透;(3)电子设计技术将归结为更加标准、规范的eda工具和硬件描述语言hdl的运用;(4)数字系统的芯片化实现手段已成主流。。

1.1课程设计的目的。

在计算机中常要求寄存器有移位功能。如在进行乘法时,要求将部分积右移;在将并行传送的数转换成串行数时也需要移位。因此,移位寄存器的设计是必要的。

本次设计的目的就是利用计算机组成原理中移位寄存器的相关知识,根据实验内容中介绍的4位串入/串出移位寄存器的设计方法,设计一个8位串入/串出移位寄存器。并且通过这次的课程设计要更加深入的了解移位寄存器的功能。了解eda技术,并掌握vhdl硬件描述语言的设计方法和思想,通过学习的vhdl语言结合计算机组成原理中的相关知识理论联系实际,掌握所学的课程知识。

通过对移位寄存器的设计,巩固和综合运用所学知识,提高对计算机组成原理的理解。

1.2 课程设计的内容。

本课程设计是关于移位寄存器的设计,它不仅具有存储**的功能,而且还有左移、右移、并行输入及保持等功能。在这里我们将通过一个4位串入/串出移位寄存器设计过程来介绍如何设计8位串入/串出移位寄存器。所谓的串入/串出移位寄存器,即输入的数据是一个接着一个依序地进入,输出时一个接着一个依序地送出。

2 eda、vhdl简介。

2.1 eda简介。

eda【1】是电子设计自动化(electronic design automation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。eda技术就是以计算机为工具,设计者在eda软件【2】平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和**,直至对于特定目标芯片的适配编译、逻辑映射和编程**等工作。eda技术的出现,极大地提高了电路设计的效率和可*性,减轻了设计者的劳动强度。

2.2 vhdl

2.2.1 vhdl的简介。

vhdl【3】 (very-high-speed integrated circuit hardwaredescription language),翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。诞生于2024年。

自ieee公布了vhdl的标准版本,ieee-1076(简称87版)之后,各eda公司相继推出了自己的vhdl设计环境,或宣布自己的设计工具可以和vhdl接口。此后vhdl在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。2024年,ieee对vhdl进行了修订,从更高的抽象层次和系统描述能力上扩展vhdl的内容,公布了新版本的vhdl,即ieee标准的1076-1993版本,(简称93版)。

现在,vhdl和verilog作为ieee的工业标准硬件描述语言,又得到众多eda公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,vhdl于verilog语言将承担起大部分的数字系统设计任务。

2.2.2 vhdl语言的特点。

1)与其他的硬件描述语言相比,vhdl【4】具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统【5】的重要保证。

2)vhdl丰富的**语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行**模拟。

3)vhdl语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

4)对于用vhdl完成的一个确定的设计,可以利用eda工具进行逻辑综合和优化,并自动的把vhdl描述设计转变成门级网表。

5)vhdl对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.2.3 vhdl的设计流程。

1.设计规范的定义。

明确设计的目的,进行设计的总体规划。分析设计要求,以及自己要达到的设计目的和目标。

2. 采用vhdl进行设计描述。

这部分包括设计规划和程序的编写。设计规划主要包括设计方式的选择及是否进行模块划分。设计方式一般包括直接设计,自顶向下和自底向下设计。

3. vhdl程序**。

对于某些人而言,**这一步似乎是可有可无的。但是对于一个可靠的设计而言,任何设计最好都进行**,以保证设计的可靠性。另外,对于作为一个独立的设计项目而言,**文件的提供足可以证明你设计的完整性。

4. 综合、优化和布局布线。

综合指的是将设计描述转化成底层电路的表示形式,其结果是一个网表或者是一组逻辑方程;优化,这个主要是为了提高程序的执行效率及减少资源的利用;布局布线,指的是将逻辑关系转化成电路连接的方式。

5.**。这一步主要是为了确定你的设计在经过布局布线之后,是不是还满足你的设计要求。

3 移位寄存器设计过程。

3.1设计规划。

本设计是基于vhdl语言设计的移位寄存器,由于移位寄存器是计算机中非常重要的部件,所以本设计采用了不同的方法来实现寄存器的移位功能,具体设计的移位寄存器是8位串入串出(siso)移位寄存器。由于涉及内容比较基础,所以设计比较简单。

3.2 模块工作原理及设计。

3.2.1移位寄存器的工作原理。

用vhdl语言描述任意分频数的分频器,并实现占空比任意设置。每当系统时钟上升沿到来时,计数器就加计数一位(可任意设置为n位),当计数值到达预定值时就对分频时钟翻转。这样就会得到一个连续的时钟脉冲。

当移位信号到来时,移位寄存器就对存储的二进制进行移位操作。移位寄存方式可自行设置(可左移,右移,一位移,多位移位寄存)。

3.2.2串入串出移位寄存器的工作原理。

图3.1 串入串出移位寄存器的工作原理图。

如图3.1 所示:串入 / 串出移位寄存器有数据输入端和同步时钟输入端,一个数据输出端。在同步时钟的作用下,前级的数据向后级移动。

3.2.3 8位串入串出(siso)移位寄存器的设计。

电路符号:4位串入串出(siso)移位寄存器工作原理框图。如图3.2所示。

图3.2 4位串入串出(siso)移位寄存器图元符号。

4位串入串出(siso)移位寄存器由vhdl程序实现。下面是其中的部分**:

module siso(

data_in,clk,data_out,vga

input data_in;

input clk;

output data_out;

output[3:0]vga;

reg [3:0]q;

integer i;

assign vga = 4'b 0001;

always @(posedge clk)

beginq[0]

for(i=1;i<=3;i=i+1) q[i]

endassign data_out = q[3];

endmodule

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...