一、简答题(共30分)
1、将二进制数 (11.001)2转换为等值的十六进制数。(3分)
2、将函数式化为最小项之和的形式。(3分)
3、试分析图示cmos逻辑门电路,写出y的逻辑表达式。(3分)
4、cpld与fpga中,哪种断电后配置数据丢失?哪种采用查找表结构?哪种具有加密性?(3分)
5.下图是一个数模转换器(dac),它的转换误差主要有比例系数误差、漂移误差、非线性误差。试说明这三类转换误差的产生原因。(3分)
6、在cmos电路中有时采用下图所示的扩展功能用法,写出y的逻辑式。已知电源电压,二极管的正向导通压降为0.7v。(5分)
7、下图是74161芯片接成的计数器。请说出下图是多少进制计数器,并画出状态图。(5分)
8、画出下图电路在一系列cp信号作用下q1 ,q2, q3端输出的波形。触发器均为边沿触发结构, q1 ,q2, q3的初始状态均为0。(5分)
二、分析计算题(共70分,每题10分)
1、用卡诺图把式化简为最简与或式,然后用与非门实现,最后画出逻辑电路图。(10分)
2、分析下图的时序电路,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说出电路实现的功能。a为输入逻辑变量。(10分)
3、用prom产生一组多输出逻辑函数:,,试在下图中画出地址译码点阵图和数据点阵图。(10分)
4、下图是用cmos反相器接成的压控施密特触发器电路。cmos电路的电源电压为5v,阈值电压为2.5v。分析它的转换电平、与控制电压的关系。(10分)
5、用d触发器和与非门设计一个串行数据检测器。对它的要求是:当检测到1000时输出为1,其它输入情况输出为0。要求画出状态转换图、卡诺图,写出驱动方程和输出方程。(10分)
6、分析如下vhdl所描述的电路,说明电路的功能,并写出语句(1)、(2)、(3)、(4)的功能。(10分)
library ieee;
use use
entity test2 is
port(clk,clr : in std_logic;
q : out std_logic_vector(3 downto 01)
end test2
architecture test2 of test2 is
signal count_4 : std_logic_vector(3 downto 02)
begin
q<=count_4
process(clk, clr
begin
if (clr='1') then
count_4 <=0000
elsif (clk' event and clk='0') then3)
if(count_4="1001") then
count_4<="0000
else count_4<=count_4 + 14)
end if;
end if;
end process;
end test2;
7、完成vhdl程序,以实现状态转换图所描述的电路功能,要求用双进程有限状态机描述,同时电路带有异步复位端。(10分)
library ieee;
use entity machine is
portcp, rst: in std_logic;
c : out std_logic);
end machine;
architecture a of machine is
typesignal current_st,next_st: mstate;
beginprocess (cp,rst)
beginend process;
process (current_st)
begincase current_st is
when end case;
end process;
end a;
模拟电子技术基础2019 B卷
命题 一 单项选择题。在题中 处填上答案。本大题共10小题,总计20分 1 某晶体管的ib从20 a变到40 a时,对应的ic从1.55ma变化到3.05ma,则该管的 为。a.75 b.50 c.100 d.77.5 2 电路如图所示,所有二极管均为理想元件,则d d d的工作状态为 a d导通,...
数字电子技术 试卷B评分标准
b 卷。一 填空题 每题2分,共10分 1.存储电路 组合电路 2.111000 38 3.速度慢 超前进位。4.产生,暂稳态 5.32 二 化简 证明 分析综合题 每小题10分,共70分 1 解 2 证明 左边。3 解 1 化简该函数为最简与或式 解 填对卡诺图2分。圈对卡诺图2分。由卡诺图可得 ...
数字电子技术作业
作业 一 1 用公式法化简 由题意 原式。化简完毕。2 用卡诺图化简 根据题意 将式中的最小项 填1 和无关项 填 分别放入卡诺图中对应的方格中。依据画圈的规则对相领的项画圈,得到 四个圈。对每个圈化简。圈1为 圈2为 圈3为 圈4为 原式 3 如图所示,通过连线作图,实现下列函数。由题意 原式。首...