数电课程设计

发布 2022-10-04 02:10:28 阅读 8104

基于multisim的课程设计。

设计要求:用d触发器和门电路设计一个十一进制计数器,并检查设计的电路能否自启动。

元件原理介绍:

1)d触发器的逻辑图为。

2)d触发器的图形符号为。

3)d触发器的特性表为:

4)由图可见,若d=1,则clk变为高电平后触发器被置成q=1,clk回到低电平后处罚期保持1状态不变;若d=0,则clk变为高电平后触发器被置成q=0,clk回到低电平后处罚期保持0状态不变。。即在时钟信号的又低电平变为高电平时q*=d.

题目分析:由于电路计数循环中有十一个不同的状态,所以需用四个触发器组成这个电路。如果对电路的编码状态没有提出要求,那么可自行决定去那些状态以及如何安排顺序。

如取如下的状态转化表(a)所决定的状态编码和循环顺序,即可画出电路次态的卡诺图(b)。

图(a)由状态转化表可以画出次态的卡诺图(b)如下所示。

b)由卡诺图得到电路的状态方程为:

q3*=q3q1’+q2q1q0

q2*=q2q1’+q2q0’+q2’q1q0

q1*=q1’q0+q3’q1q0’

q0*=q3’q0’+q1’q0’

输出方程为 c=q3q1

电路设计:根据触发器的状态方程,设计的电路如下。

经分析,电路能够自启动,即当电路处于1011,1100,1101,1110,1111五个无效状态时,能自动进入到0000~1010的有效循环中去,有效循环和自启动的状态转换图为

电路**:1, 按如下所示把信号发生器xfg1,逻辑分析仪xla1和接地元件接入电路:(1)把信号发生器的时钟信号接到计数器电路的clk端,把正弦信号端接地。

(2)把时钟信号接到逻辑分析仪的c端,把进位输出端c和q3,q2,q1.,q0分别接到逻辑分析仪xla1的信号分析输入端。

2, 然后打开xla1的界面,打开设置页面,把时钟信号的频率设为10hz,如图所示。

3, 打开电源开关即可进行**,**结果为。

4, 验证能否自启动:

1)由于q3q2q1q0=1111为无效状态,记在循环之外,故不妨把把q3q2q1q0置为1111状态,如图所示把四个d触发器的set端同时接入高电平,则此时q3q2q1q0的状态为1111,为无效状态。

2),打开逻辑分析仪,把时钟信号的频率设置为10hz,接通电源,观察各信号的波形如下所示。

结论:该电路能自启动。

数电课程设计

两位十进制计数显示器。一 设计内容。本设计主要采用芯片有555定时器 74162计数器 7448七段字形译码器,以及七段led数码管。能过以上元器件的组合,构成一个两位十进制计数显示器,实现循环依序显示0 99的数字。要求每位同学独立设计电路原理图,制作pcb电路板并印制上自己的学号。二 电路原理。...

数电课程设计

数字电子技术基础 课程设计报告。数字万年历。班级 姓名 签字。学号 日期 目录。1.设计任务及要求3 2 设计思路与说明3 2.1电路图及原理说明3 2.1.1年部分计数器3 2.1.2月部分计数器5 2.1.3日期部分计数器6 2.1.4 星期部分计数器7 3 电路调试基本过程遇到的问题及调试结果...

数电课程设计

数字电子课程设计报告。题目 电子生日蜡烛。系班 电气0805 组员 焦雪菲08291140齐龙。杨超08291158 指导老师 王强。电路原理图。一 系统功能概述。如图1所示,这个电路产生了一套基于led的电子生日蜡烛。这种蜡烛与吹灭蜡制蜡烛一样具有相同的乐趣,并且它是可重复利用的,可改进的以及低碳...