EDA课程设计电子钟

发布 2022-09-30 22:11:28 阅读 1493

内蒙古师范大学计算机与信息工程学院。

eda数字电子钟设计》

实验报告。数字电子钟设计。

1 实验目的。

1)掌握原理图的基本运用。

2)掌握quartusii的简单操作并会使用eda实验箱。

3)掌握一个基本eda课程设计的操作。

2 实验要求。

用quartusii设计数字电子钟,包括有以下功能:

1)有时、分、秒计数显示功能,小时为24进制,分钟和秒为60进制以24小时循环计时。

2)具有整点报时功能。

3 工作原理。

数字钟是一个将“ 时”,“分”,“秒”显示出来的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒时,结束此循环进行下一个循环,继续计时。并且具有整点报时的功能。

本次设计的数字钟电路主要由 “时”,“分”,“秒”,计数器、报时电路组成。首先将一个脉冲送到“秒计数器”,“秒计数器”是一个采用60进制计数器。秒的个位采用十进制,当计时到9的时候,产生一个脉冲进位到十位并且清零继续计数,十位采用六进制,当整体计时到59分59秒的时候,发出一个“分脉冲”信号并清零,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,个位采用十进制,十位采用六进制,当整体计时到59分59秒的时候,发出一个“时脉冲”信号并清零,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,个位采用十进制,当计时到9的时候,产生一个脉冲进位到十位并且清零继续计数,十位采用二进制,当整体计时到23小时59分59秒的时候清零并继续循环计时。每当分的计数器计数到59分50秒的时候,“蜂鸣器”输出端将变为高电平,到00分00秒的时候又改为低电平,完成整点报时的功能。

4 总体设计。

1)时、分、秒计时器。

时计时器为一个24进制计数器,分、秒计时器均为60进制计数器。当秒计时器接受到一个秒脉冲时,秒计数器开始从1计数到60,此时秒显示器将显示;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示;每当分计数器数到00时,就会产生一个脉冲输出送至时计时器,此时时计数器数值在原有基础上加1,其显示器将显示。即当数字钟运行到23点59分59秒时,当秒计时器在接受一个秒脉冲,数字钟将自动显示00点00分00秒。

2)整点报时。

每当分计数器计时到59分50秒的时候,蜂鸣器输出端为高电平,到00分00秒的时候,变为低电平,报时结束。

整体设计框图如图1所示:

图1 整体设计框图。

原理图如图2所示:

实验结果**图如图3所示:

5 各部分设计及**图。

1)秒与分的设计。

源**。library ieee;

use use

entity int60 is

port(clk :in std_logic;

cq1,cq2:out std_logic_vector(3 downto 0);

cout:out std_logic);

end entity;

architecture one of int60 is

signal q1,q2:std_logic_vector(3 downto 0

beginprocess(clk

beginif clk'event and clk='1' then

q2<=q2+1

if q2=9 then q1<=q1+1;

q2<="0000";

end if

if q2=9 and q1=5 then

q1<="0000";q2<="0000";

cout<='1

else cout<='0';

end if;

end if;

end process;

cq1<=q1; cq2<=q2;

end;图2 实验原理图。

图3 整体实验结果**图。

时序**图如图4所示:

1)小时的设计。

源**。library ieee;

use use

entity int24 is

port(clk :in std_logic;

cq1,cq2:out std_logic_vector(3 downto 0);

cout:out std_logic);

end entity;

architecture one of int24 is

signal q1,q2:std_logic_vector(3 downto 0);

beginprocess(clk)

begin

if clk'event and clk='1' then

q2<=q2+1

if q2=9 then q1<=q1+1;

q2<="0000";

end if

if q2=3 and q1=2 then q1<="0000";

q2<="0000";

cout<='1

else cout<='0';

end if;

end if;

end process;

cq1<=q1; cq2<=q2;

end;时序**图如图5所示:

6 实验小结。

通过本次实验的学习,我深深的体会到实验课的重要性和目的性所在。本次实验课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。

在实验的过程中遇到一些问题,不过都通过与同学们讨论和请教老师解决了。在这个过程中锻炼了我的动手能力、耐心和细心,也积累了一些经验,能更加熟练的运用quartusii了,收获很大。在此次实验过程中得到了戚桂美老师的细心指导,在此表示衷心的感谢。

图4 秒与分部分时序**图。

图5 小时部分时序**图。

EDA课程设计电子钟

目录。摘要 1第1章绪论 2 1.1选题背景 2 1.2电子钟简介 2 1.2.1电子钟的特点 2 1.2.2电子钟的发展趋势 2 1.3本实验设计所要实现的目标 3 第2章整体设计思想 4 2.1功能设计 4 2.2设计总体方案 4 2.3设计原理 5 2.3.1时 分 秒计时器 5 2.3.2校...

eda课程设计 数字电子钟课程设计

绍兴文理学院。数理信息学院。eda技术。课程设计报告。课题数字时钟 姓名。学号。专业班级。指导教师。时间。课程设计任务书。数字钟设计。摘要。人类社会已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术 产品品质的提高和进步。实现这种进步的主要原因就是电子设计技术和电子制造技术的发...

数电课程设计电子钟

河南城建学院。数字电子技术基础课程设计报告。题目 数字钟。姓名 姚松 学号 132411151 专业班级 应用物理学 指导老师 樊晓虹周焱 所在院系 数理学院 2014年01月02日。成绩评定 一 指导教师评语 根据学生设计报告质量 答辩情况及其平时表现综合评定 课程设计成绩评定。摘要。本设计是本次...