目录。摘要 1第1章绪论 2
1.1选题背景 2
1.2电子钟简介 2
1.2.1电子钟的特点 2
1.2.2电子钟的发展趋势 2
1.3本实验设计所要实现的目标 3
第2章整体设计思想 4
2.1功能设计 4
2.2设计总体方案 4
2.3设计原理 5
2.3.1时、分、秒计时器 5
2.3.2校时电路 5
第3章程序设计及调试 6
3.1 总体设计 6
3.2 各子模块设计 6
3.2.1千分频器模块 6
3.2.2六十进制计数器模块 8
3.2.3二十**制计数器模块 11
3.2.4二选一多路选择器模块 13
3.2.5二十四选四mux24_4模块 14
3.2.6片选信号sel模块 16
3.2.7译码器disp模块 17
第4章总程序调试 20
4.1 总电路图 20
4.2 总波形**图 20
4.3 实验室实验图 21
第5章设计心得 23
参考文献: 24
伴随着计算机、集成电路和电子设计技术的发展,eda技术在过去的几十年里取得了巨大的进步。eda技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和eda软件quartus ⅱ等即可完成对系统硬件功能的实现。eda技术研究的对象是电子设计的全过程,有上到下依次包括了系统级、电路级和物理级三个层次。
vhdl作为一个规范语言和建模语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。vhdl具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。
本设计是通过quartus ⅱ软件、vhdl语言编程及fpga芯片来实现常见的电子钟,该电子钟可以根据一个控制键能选择显示时、分、秒,并且可以显示翻屏。本设计中用6位led数码管显示时、分和秒,同时可以通过拨开关调整时、分、秒进行清零。
关键词:quartus ⅱ;vhdl;电子钟。
日常生活中必不可少的物品,广泛用于个人家庭以及车站、机场、电影院等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。钟表的数字化给人们生产生活带来了极大的方便。它扩展了钟表原有的报时功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,这些都是以钟表数字化为基础的。
因此,研究数字电子钟以及扩大其在生活中的应用,有着非常现实的意义。
数字电子钟是一种用数字电路技术,实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有走时准确、性能稳定、携带方便等优点,且具有更长的使用寿命,因此得到了广泛的使用。电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。
尽管目前市场上已有现成的数字钟集成电路芯片,**便宜,使用也非常方便。鉴于数字钟电路的基本组成包含了数字电路的主要组成部分,为了让数字电路的知识能够有机的、系统地联系起来用于实际,进行数字钟的设计是必要的。
另外目前市场上提供的无论是机械钟还是石英钟在晚上无照明的情况下都是不可见的。要知道当前的时间,必须先开灯,故较为不便。现在市场上出现了这样一类的电子钟,它以六只led数码管来显示时分秒,与传统的以指针显示秒的方式不同,违背了人们传统的习惯与理念,而且这类电子钟一般是采用大型显示器件,适用于银行、车站等公共场所。
这种新型的电子钟因其方便、直观的特点也得到了社会的欢迎,在社会上占有相当一部分市场。
用quartus ⅱ软件设计一个有时、分、秒计数显示功能的电子钟,以24小时循环计时,并显示在led显示器上,有校时功能,可以分别对时分进行单独校时的电子钟。
1)有时、分、秒计数显示功能,以24小时循环计时;
2)有校时功能,可以分别对时分进行单独校时;
3)时钟计数显示在led显示器上。
数字电子钟由六个部分组成。其中分频器把输入频率分成标准的秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。秒信号送入计时器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。
“时”显示由二十**制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器和显示器构成。另外,用二选一选择器作校正时间。
总体框图如下:
clk时计时器为一个24进制计数器,分、秒计时器为60进制计数器。当秒计时器接收到一个秒脉冲时,秒计时器从00计数到59,此时显示器将显示00—59;每当秒计数器算到00时,就会产生一个脉冲输出送到分计时器,此时计数器数值在原有基础下加1;每当分计数器数到00时,就会产生一个脉冲输出送到时计时器,此时时计数器数值在原有基础下加1。即当数字钟运行到23点59分59秒时,当秒计时器再接收一个秒脉冲,数字钟将显示00点00分00秒。
校时电路由二选一多路选择器构成,当调“分”开关按下时(低电平),1hz的秒脉冲直接选送到分计数器,从而实现快速调分的功能;正常工作状态下(高电平),“分”开关弹起,则把满60秒产生的进位信号co作为时钟计数,实现正常计数功能。同样,当调“时”开关按下时(低电平),1hz的秒脉冲直接选送到时计数器,从而实现快速调时的功能;正常工作状态下(高电平),“时”开关弹起,则把满60分产生的进位信号co作为时钟计数,实现正常计数功能。
该电子钟由七个模块构成,即秒计时器模块、分计时器模块、时计时器模块、星期计时器模块、报时模块、分频模块和译码模块组成。程序产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。
图 1总体设计图。
1.功能:把1000hz的频率变为1hz的秒脉冲信号做好准备。
2.分频模块图1
图 2分频模块图。
3.程序如下。
library ieee;
use entity fen10 is
port(clk: in std_logic;
fout: out std_logic);
end fen10;
architecture beh**e of fen10 is
signal q:std_logic;
beginprocess(clk)
variable cnt:integer range 0 to 499;
beginif clk'event and clk='1' then
if cnt<499 then
cnt:=cnt+1;
q<='0';
else cnt:=0;
q<='1';
end if ;
end if ;
end process;
process(q)
variable q2 : std_logic;
beginif q'event and q='1' then
q2:= not q2;
if q2='1' then
fout<='1';
else fout<='0';
end if;
end if;
end process;
end beh**e;
4.波形**如下。
图 3分屏器波形**。
波形**分析:输入频率为1khz的时钟信号,输出1hz的时钟信号,实现办法为通过计数500次,输出电平翻转一次,输出一高一低电平形成一个周期,输出频率为1hz即周期为1秒的时钟信号。
EDA课程设计电子钟
内蒙古师范大学计算机与信息工程学院。eda数字电子钟设计 实验报告。数字电子钟设计。1 实验目的。1 掌握原理图的基本运用。2 掌握quartusii的简单操作并会使用eda实验箱。3 掌握一个基本eda课程设计的操作。2 实验要求。用quartusii设计数字电子钟,包括有以下功能 1 有时 分 ...
eda课程设计 数字电子钟课程设计
绍兴文理学院。数理信息学院。eda技术。课程设计报告。课题数字时钟 姓名。学号。专业班级。指导教师。时间。课程设计任务书。数字钟设计。摘要。人类社会已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术 产品品质的提高和进步。实现这种进步的主要原因就是电子设计技术和电子制造技术的发...
数电课程设计电子钟
河南城建学院。数字电子技术基础课程设计报告。题目 数字钟。姓名 姚松 学号 132411151 专业班级 应用物理学 指导老师 樊晓虹周焱 所在院系 数理学院 2014年01月02日。成绩评定 一 指导教师评语 根据学生设计报告质量 答辩情况及其平时表现综合评定 课程设计成绩评定。摘要。本设计是本次...