第一章绪论 1
1.1系统背景 1
1.1.1 eda技术的特点以及在电子技术中的应用 1
a. eda技术的特点: 1
b. eda技术的发展趋势: 2
c. eda技术的设计流程: 2
1.1.2设计内容和目标 2
a.设计主要内容和任务: 2
b.目标: 2
第二章系统电路设计 3
2.1 系统总体设计框架结构 3
2.2 系统实验电路结构图no.9 4
2.3**软件总电路 4
第三章系统软件设计 4
3.1 系统程序设计 4
3.1.1分频电路程序 4
3.1.2彩灯显示程序 5
3.2**波形图 9
第四章实验结果和分析 9
4.1 实验使用的仪器设备 9
4.2 测试结果分析 9
结束语 9eda技术是在电子cad技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。电子设计自动化工程是近几年迅速发展起来的计算机软件、硬件、微电子交叉的现代电子设计学科,它是以eda软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以专用集成电路(asic)、片上系统(soc)芯片为器件目标,以电子系统设计为应用方向的电子产品自动化设计过程[1]。利用eda工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出ic版图或pcb版图的整个过程在计算机上自动处理完成。
现代eda技术是采用高级语言描述,具有系统级**和综合能力,它主要采用并行工程(concurrent engineering)设计和自顶向下(top-down)设计方法,其基本思想是从系统总体要求出发,分为行为描述、寄存器传输级描述、逻辑综合三个层次,将设计内容逐步细化,最后完成整体设计,这是一种全新的设计思想与设计理念。
进入21世纪,全定制和定制专用集成电路正成为新的发展热点,专用集成电路的设计与应用必须依靠专门的eda工具,因此eda技术在功能**、时序分析、集成电路自动测试、高速印刷电路板设计及操作平台的扩展等方面都面临着新的巨大的挑战。
eda技术目前正处于高速发展阶段,每年都有新的eda工具问世,我国eda技术的应用水平长期落后于发达国家,因此,广大电子工程人员应该尽早掌握这一先进技术,这不仅是提高设计效率的需要,更是我国电子工业在世界市场上生存、竞争与发展的需要。
eda技术是将传统的“电路设计——硬件搭试——调试焊接”模式变为“功能设计——软件模拟——编程**”方式,设计人员只需一台微机和相应的开发工具即可研制出各种功能电路。eda技术将电子产品设计从软件编译、 逻辑化简、 逻辑综合、 **优化、 布局布线、 逻辑适配、 逻辑影射、 编程** 、生成目标系统的全过程在计算机及其开发平台上自动处理完成。
完成eda最小系统板设计与制作,在此基础上通过编程设计多功能彩灯设计。
具有分频功能,可以控制彩灯闪烁频率。例如8分频、4分频、2分频。
具有至少3路以上的彩灯花样。按照一定的时间间隔和既定的顺序亮灭。
本实验设计并制作一个基于acex1k ,p1k30tc144-2芯片的多功能彩灯。系统电路结构框图如图所示。按键1控制四选一电路,可以选择不同的时钟频率。
按错误!未找到索引项。 本次设计系统可分为控制部分和显示部分,其中,控制部分包括功能按键模块和四选一模块等,显示部分主要指led显示模块。
这些单元电路也主要通过eda芯片来调控其共同工作。
library ieee;
use use
entity fp is
port(clk_in:in std_logic;
s:in std_logic_vector(3 downto 0);
clk_out:out std_logic);
end entity fp;
architecture one of fp is
signal div8clk,div4clk,div2clk:std_logic;
beginprocess(clk_in)
beginif clk_in'event and clk_in='1' then
div2clk<=not div2clk;
end if;
end process;
process(div2clk)
beginif div2clk'event and div2clk='1' then
div4clk<=not div4clk;
end if;
end process;
process(div4clk)
beginif div4clk'event and div4clk='1' then
div8clk<=not div8clk;
end if;
end process;
process(clk_in)
beginif s="0000" then
clk_out<=div8clk;
elsif s="0001" then
clk_out<=div4clk;
elsif s="0010" then
clk_out<=div2clk;
elsif s="0011" then
clk_out<=clk_in;
end if;
end process;
end architecture one;
library ieee;
use use
entity cd1 is
port(clk:in std_logic;
selmode:in std_logic_vector(3 downto 0);-彩灯花样控制。
led:out std_logic_vector(15 downto 0));
end;architecture one of cd1 is
beginprocess(clk)
variable qa:std_logic_vector(5 downto 0);
beginif clk'event and clk='1' then
if qa="110011" then qa:="000000";
else qa:=qa+1;
end if;
end if;
if selmode="0000" then
case qa(3 downto 0) is跑马灯。
when "0000"=>led<="0000000000000001";
when "0001"=>led<="0000000000000010";
when "0010"=>led<="0000000000000100";
when "0011"=>led<="0000000000001000";
when "0100"=>led<="0000000000010000";
when "0101"=>led<="0000000000100000";
when "0110"=>led<="0000000001000000";
when "0111"=>led<="0000000010000000";
when "1000"=>led<="0000000100000000";
when "1001"=>led<="0000001000000000";
EDA课程设计 多功能数字钟课程设计报告
多功能数字电子钟。一 设计要求。1 具有以二十四小时计时 显示 整点报时 时间设置和闹钟的功能。2 设计精度要求为1s。二 系统功能描述。1 系统输入 系统状态及校时 定时转换的控制信号为k mode set 时钟信号clk,采用1024hz 系统复位信号为reset。输入信号均由按键产生。系统输出...
彩灯控制 EDA课程设计
eda 课程设计。说明书。设计题目彩灯控制器 系别 电子信息与控制工程学院。专业班级 电子111 学生姓名。学号 201100303018 指导教师 吴艳。日期 2014年6月1日。1.设计目的。学习eda开发软件的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。2.设计题目描述和要...
PLC彩灯控制课程设计
基。于。p lc的。彩。灯。控。制。目录。课程设计任务书1 引言2一 plc的定义及发展3 二系统分配6 2.1 硬件分配图6 2.2 软件分配图7 三方案设计8 3.1顺序工程图8 3.2程序运行步骤9 四总结10参考文献11 一 控制任务和要求 1 按下启动按钮,彩灯控制装置开始工作,按下停止按...