EDA课程设计报告

发布 2022-09-30 21:04:28 阅读 1270

东莞理工学院城市学院。

eda技术基础与实验教程》课程设计报告。

题目: 交通灯控制器的设计

班级: 08电子信息工程

学生姓名。学号: 200840703

指导老师。日期: 2011-06-03至2011-06-10

交通灯控制器的设计。

摘要:本设计是基于eda技术的交通灯控制器的设计。该设计通过用数字信号自动控制十字路**通灯状态转换的方法,指挥各种车辆和行人安全通行,实现十字路**通管理的自动化。

在本次课程设计里,用vhdl语言为基础来实现设计交通控制信号灯,在quartus ii软件完成其**,实现主干和枝干道上的红绿灯转换。

关键词:交通信号灯, vhdl, **。

一、 绪论1

1.1设计背景1

1.2设计目标1

二、 总体方案设计2

2.1方案比较2

2.2方案论证7

2.3方案选择7

三、 单元模块设计8

3.1时钟脉冲发生电路8

3.2计时/倒计时设计电路9

3.3红绿灯信号控制电路13

3.4建立程序包及模块15

四、 系统调试17

4.1硬件实验方案17

4.2调试过程及结果18

五、 结论19

六、 总结与体会20

七、 参考文献21

图2-1 传统数字逻辑电路系统框图。

由软件设置交通灯的初始时间,南北方向和东西方向通行时间,数码管的段码用不同的口线,东西方向的是用的p0口,南北的使用p3口,用单片机来控制各种信号灯的燃亮时间,通过单片机的p2口控制。

由软件设置交通灯的初始时间,南北方向和东西方向各25秒,数码管的段码用不同的口线,东西方向的是用的p0口,南北的使用p3口,用单片机来控制各种信号灯的燃亮时间,通过单片机的p2口控制。

图2-2 用单片几实现控制的系统框图。

交通灯的电路由**电路及外围电路组成,所用到的器件有emp7064slc44芯片、钟振、发光二极管、电阻和导线。eda流程图如图2-3所示:

图2-3用eda技术实现交通灯控制器。

该方案采用vhdl硬件描述语言实现交通灯的控制电路设计。设计思路为根据交通控制器要实现的功能,考虑用两个并行执行的awlays模块来分别控制a和b两个方向的四盏灯,这两个always模块使用同一个时钟信号,以进行同步。也就是说,两个always模块的敏感信号是同一个,每个always模块控制一个方向的四种灯按如下顺序点亮,并往复循环:

绿灯—黄灯—左拐灯—黄灯—红灯。

每种灯亮的时间采用一个减法计数器进行计数,计数器用同步预置法设计,这样只需改变预置数据,就能改变计数器的模,因此每个方向只要一个计数器进行计时即可。为便于显示灯亮的时间,计数器的输出均采用bcd码,显示由4个数码管来完成,a方向和b方向各用两个数码管。

实现路**通灯系统的控制方法很多,如上述方法,可以用传统数字逻辑电路、可编程序控制器和单片机等方案来实现。

方案一中,用传统数字逻辑电路实现,、为定时器的输出信号,为控制器的输出信号。当某车道绿灯亮时,允许车辆通行,同时定时器开始计时,当计时到45s时,则输出为1,否则, =0;当某车道黄灯亮后,定时器开始计时,当计时到5s时,输出为1,否则=0。为状态转换信号,当定时器计数到规定的时间后,由控制器发出状态转换信号,定时器开始下一个工作状态的定时计数。

方案二中,若用单片机方案来实现的话,模型可以由电源电路、单片机主控电路、无线收发控制电路和显示电路四部分组成。在电源电路中,需要用到+5v的直流稳压电源,无线收发控制电路和显示电路应由编码芯片和数据发射模块两部分组成,主控电路的主要元件为at89c51。硬件设计完成后还要利用计算机软件经行软件部分的设计才能够实现相应的功能。

方案三中,与传统的电子设计方法相比,eda技术对于复杂电路的设计和调试都比较简单,如果某一过程存在错误,查找和修改起来比较方便,而且eda技术的可移植性很强。

通过这三个方案的对比,传统数字逻辑电路电路复杂,所用期间繁琐。利用单片机系统设计的交通灯控制器相对来说较稳定,能够完成较多功能的实现,但这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统设计与调试的困难。相反,使用基于eda的设计方法具有周期短,设计灵活,易于修改等明显的的优点。

而且,随着可编程逻辑器件、设计语言和电子设计自动化工具的发展和改进,越来越多的电子系统采用可编程逻辑器件来设计。未来,使用可编程逻辑器件设计的产品将出现在各个领域里。因此,此次的交通信号灯控制器的设计将采用基于eda的设计方案来实现所要求的功能。

本章主要介绍系统各单元模块的具体功能,主要包括:时钟发生电路;计数秒数选择电路;倒计时控制电路;红绿灯信号控制电路。系统大体的工作程序是:

首先由时钟发生电路产生稳定的时钟信号,为下面三个子电路提供同步工作信号。接收到时钟信号的红绿灯信号控制电路开始工作,并将产生的重新计数的输出使能控制信号发送给计数秒数选择电路和倒计时控制电路,同时还会将目前电路产生的状态信号发送给前者。接收到重新计数的信号后计数秒数选择电路就会负责产生计数器所需要的计数值,并将这一数值发送给倒计时控制电路,由它利用发光二极管显示倒计时的状态。

当计数器计时完毕,倒计时控制器就会负责产生一个脉冲信号发送给红绿灯信号控制电路进入下一个状态,之后循环这一过程。

在红绿灯交通信号系统中,大多数的情况是通过自动控制的方式指挥交通的。因此,为了避免意外事件的发生,电路必须给出一个稳定的时钟(clock)才能让系统正常的工作。因此,hld1时钟发生电路最主要的功能就是产生一些稳定的输出信号,并将其用做后面几个电路的使能控制与同步信号。

图4-1时钟发生电路图。

图4-1时钟发生电路图中:

reset:系统内部自复位信号。

系统输出信号:

ena_scan:将外部的时钟信号进行分频处理;

ena_1hz:产生每秒一个的脉冲信号;

flash_1hz:产生每秒一个脉冲的时钟信号。

经**后得到的时序图如图4-2和4-3所示。

图4-2 将clk经分频处理后得到的ena_scan信号。

图4-3时钟发生电路时序图。

从图4-2中可以看出,当外部信号发生器提供了1khz的时钟信号后,系统输出信号ena_scan就将时钟信号进行了4分频。从图4-3又可以看出,当加入1khz的时钟信号后,ena_1hz产生了周期为一秒的脉冲信号,给予图4-8红绿灯信号控制电路。flash_1hz产生了周期为一秒的脉冲时钟信号,图4-8红绿灯信号控制电路。

EDA课程设计报告

eda 课程设计报告。时间 2014年12月21日 学院自动化。专业班级自1204 姓名。学号。指导教师王丽君老师 成绩。2014年 12月。目录。卷烟机烟支漏气跟踪自检装置设计 1 一 设计背景及设计目的 3 1.1设计背景 3 1.2设计目的 3 二 设计原理 3 三 设计步骤 5 3.1模块分...

EDA课程设计报告

设计一个出租车自动计费器,计费包括起步价 行车里程计费 等待时间计费三部分,用四位数码管显示金额,最大值为999.9元,最小计价单元为 0.1元,行程 3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元。用两位数码管显示总里程,最大为99公里。...

EDA课程设计报告

课程名称eda技术。设计项目多功能数字钟。系 部 班级姓名学号 指导老师日期2010 06 09 电子系电子仪器仪表与维修仪表z082王叁少安老师。1 数字钟的设计要求。多功能数字钟具有计时功能和时钟的校时基本功能。计时功能采用24小时计时,显示时,分,秒。采用时校时,对于分钟和秒模块采用60进制实...