eda最佳课程设计

发布 2022-09-30 21:05:28 阅读 5053

eda程序设计。

院系:现代科技学院。

专业班级:电子信息技术与科学1101班。

学号:2011614070315

姓名:王楠楠。

8位数码扫描显示电路设计。

一、实验目的。

学习硬件扫描显示电路设计。

二、实验原理。

8位数码扫描显示电路中,每个数码管的8个段:h、g、f、c、b、a(h是小数点)都分别连在一起,8个数码管分别由8个选通信号k1、k2到k8来选择。被选通的数码管显示数据,其余关闭。

如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅k3对应的数码管显示来自段信号端的数据,而其它7个数码管呈关闭状态。根据这种电路的状况,如果希望在8个数码管上显示的数据,就必须使得8个选通信号k1、k2、……k8分别被单独选通,并在此时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。

三、实验程序。

library ieee;

use use

entity scan_led is

port(clk : in std_logic;

sg :out std_logic_vector(6 downto 0段控制信号输出。

bt : out std_logic_vector(7 downto 0位控制信号输出。

end;architecture one of scan_led is

signal cnt8:std_logic_vector(2 downto 0);

signala:integer range 0 to 15;

beginp1:process(cnt8)

begincase cnt8 is

when"000"=>bt <=00000001";a<=1;

when"001"=>bt <=00000010";a<=3;

when"010"=>bt <=00000100";a<=5;

when"011"=>bt <=00001000";a<=7;

when"100"=>bt <=00010000";a<=9;

when"101"=>bt <=00100000";a<=11;

when"110"=>bt <=01000000";a<=13;

when"111"=>bt <=10000000";a<=15;

when others=>null;

end case;

end process p1;

p2:process(clk)

beginif clk'event and clk ='1' then cnt8<=cnt8+1;

end if;

end process p2;

p3:process(a)

begincase a is

when 0 =>sg<="0111111";

when 1 =>sg<="0000110";

when 2 =>sg<="1011011";

when 3 =>sg<="1001111";

when 4 =>sg<="1100110";

when 5 =>sg<="1101101";

when 6 =>sg<="1111101";

when 7 =>sg<="0000111";

when 8 =>sg<="1111111";

when 9 =>sg<="1101111";

when 10 =>sg<="1110111";

when 11 =>sg<="1111100";

when 12 =>sg<="0111001";

when 13 =>sg<="1011110";

when 14 =>sg<="1111001";

when 15 =>sg<="1110001";

when others =>null;

end case;

end process p3;

end;四、实验内容。

sg的8个段分别于pio49、pio48、….pio42(高位在左)、bt的8个位分别与pio34、pio35、……pio41(高位在左);电路模式不限,引脚图参考附录10。将gw48eda系统左下方的拨码开关全部向上拨,这时实验系统的8个数码管构成图5-20的电路结构,时钟clk可以选择clock0,通过跳线选择16384hz信号。

引脚锁定后进行编译、**和硬件测试实验。将实验过程和实验结果写进实验报告。

五、**结果。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...