EDA课程设计

发布 2022-09-30 20:11:28 阅读 7211

黄冈师院物科院。

eda技术》课程设计报告。

项目名称: eda多功能彩灯设计

专业年级: 电子信息工程2009级。

学号: 200922240110

学生姓名王浩。

指导教师冯杰。

报告完成日期 2011 年 12 月 9 日。

eda是电子设计自动化(e1echonics des5p amtom60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从eda技术的几个主要方面的内容来看,可以理解为:

eda技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑**。完成对于特定目标芯片的适配编译、逻辑映射、编程**等工作,最终形成集成电子系统或专用集成芯片。

eda技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。##k:

jfd(****移动通信网版权所有。

20世纪70年代的cad(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,pcb布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的qte(计算机辅助工程设计)阶段:

这一阶段的主要特征是以逻辑摸拟、定时分析、故障**、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。20吐纪如年代是eda(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级**和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由eda工具来完成。

eda是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用eda中的**工具论证设计的正确性;在芯片设计阶段,可以使用eda中的芯片设计工具设计制作芯片的版图:

在电路板设计阶段,可以使用eda中电路板设计工具设计多层电路板。特别是支持硬件描述语言的eda工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四a技术的高速发展期,eda技术将是对21世纪产生重大影响的十大技术之一。

1.3 eda技术的基本特征。

eda代表了当今电子设计技术的最新发展方向,利用eda工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出ic版图或pcb版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行**、纠错.并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。

然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(asic)。设计者的工作仅限于利用软件的方式,即利用硬件描述语言和eda软件来完成对系统硬件功能的实现。由于设计的主要**和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能**的工作量,提高了设计的一次性成功率。

由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋ic器件已蓬勃发展起来。在eda技术中所用的大规模、超大规模芯片被称为可编程asic芯片,这些可编程逻辑器件自70年代以来,经历了cpm、izpga 、cpld、fpga几个发展阶段,其中cpm(复杂可编程逻辑器件)/izpga(现场可编程逻辑器件)肩高密度可编程逻辑器件,目前集成度已高达200万门/片以上,它将掩模asic集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模asic实现,因此开发风险也大为降低。可以说cple)/fpga器件,已成为现代高层次电子设计方法的实现裁体。

1.4 eda技术的应用发展。

1) cpld/fpga系统:使用eda技术开发cpld/fpga,使自行开发的cpld/fpga作为电子系统、可控制系统、信息处理系统的主体。

2) “cpld/fpga+mcu”系统:使用eda技术与单片机相接结合,使自行开发的cpld/fpga+mcu作为电子系统、控制系统、信息处理系统的主体。

3) “cpld/fpga+专用dps处理器”系统:将eda技术与dsp专用处理器配置合使用,使自行开发的“cpld/fpga+专用dps处理器”构成一个数字信号处理系统的整体。

4) 基于fpga实现的现代dsp系统:基于sopc(a system on a programmable chip)技术、eda技术与fpga技术实现方式的现代dsp系统。

5) 基于fpga实现的soc片商系统:使用超大规模的fpfa实现的内含1个或数个嵌入式cpu或dsp能够实现复杂系统功能的单一芯片系统。

1.进一步掌握数字电路课程所学的理论知识。

2.了解数字系统设计的基本思想和方法,学会科学的分析和解问题,增强动手和实践能力。 3.学习层次化设计步骤。

4.学习eda设计的**的硬件测试方法。

设计要求:1.实现16个彩灯可以自动循环;

2.实现频率可以多种控制;

3.达到不同的循环方案。

4.多种花型可以自由变化,循环往返。

可编程控制器是计算机技术与自动化控制技术相结合而开发的一种是用工业环境的新型通用自动控制装置,是作为传统续电器的替换产品而出现的。随着微电子技术和计算机技术的迅猛发展,可编程控制器更多地具有了计算机的功能,不仅能实现逻辑控制,还具有了数据处理、通信、网络等功能。由于它通过软件来改变控制过程,而且具体提及小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业控制的各个领域,大大推进了电机一体化的进程。

2.3.2 plc的特点。

1) 高可靠性。

2) 编程简单,使用方便(可采用梯形编程方式,与世界续电器控制电路非常接近,一般电气工作者很容易接受)

3) 环境要求低(使用于恶劣的工作环境)

4) 体积小,体重轻。

5) 扩充方便,组合灵活。

eda技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和eda软件来完成对系统硬件功能的实现。近几年来,硬件描述语言等设计数据格式的逐步标准化,不同设计风格和应用的要求导致各具特色的eda工具被集成在同一个工作站上,从而使eda框架日趋标准化。

vhdl丰富的**语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时对设计进行**模拟。其行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的在利用功能。

第三章 vhdl语言简介

3.1 vhdl语言。

vhdl 的英文全名是 very-high-speed integrated circuit hardware description language,诞生于 1982 年。1987 年底,vhdl被 ieee 和美国国防部确认为标准硬件描述语言。

vhdl主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,vhdl的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。vhdl的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是vhdl系统设计的基本点。

3.2 vhdl语言特点。

vhdl 语言能够成为标准化的硬件描述语言并获得广泛应用 , 它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来 ,vhdl 语言主要具有以下优点:[1]

(1) vhdl 语言功能强大 , 设计方式多样

vhdl 语言具有强大的语言结构, 只需采用简单明确的vhdl语言程序就可以描述十分复杂的硬件电路。同时, 它还具有多层次的电路设计描述功能。此外 ,vhdl 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。

vhdl 语言设计方法灵活多样 , 既支持自顶向下的设计方式, 也支持自底向上的设计方法; 既支持模块化设计方法, 也支持层次化设计方法。

(2) vhdl 语言具有强大的硬件描述能力

vhdl 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,vhdl 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。vhdl 语言的强大描述能力还体现在它具有丰富的数据类型。

vhdl 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。

(3) vhdl 语言具有很强的移植能力

vhdl 语言很强的移植能力主要体现在: 对于同一个硬件电路的 vhdl 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。

(4) vhdl 语言的设计描述与器件无关

采用 vhdl 语言描述硬件电路时, 设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化, 而不需要考虑其他的问题。当硬件电路的设计描述完成以后 ,vhdl 语言允许采用多种不同的器件结构来实现。

(5) vhdl 语言程序易于共享和复用

vhdl 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人员可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块, 将这些模块存放在库中 , 就可以在以后的设计中进行复用。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...