EDA课程设计

发布 2022-09-30 20:08:28 阅读 9947

江苏大学。

eda 课程设计报告。

班级:**姓名:**

学号:**教师:**

一、设计题目及要求。

“111”数据检测器

设计一个“111”数据检测器,用来检测串行二进制数序列,当连续输入3个或3个以上的“1”时,“111”数据检测器输出为“1”,否则输出为“0”。

二、 设计分析。

该电路有一个输入变量x和一个输出变量z,以及以下几个记忆状态:

s0——没有输入1以前的状态。

s1——输入一个1以后的状态。

s2——连续输入两个1以后的状态。

s3——连续输入3个1以后的状态。

s4——连续输入4个1或4个以上1以后的状态。

设检测器开始处于s0状态。输入第一个1以后,状态转换到s1,连续输入第二个1或第三个1以后,状态分别转换到s2和s3,同时,以上三种情况输出均为0;当连续输入第四个1后,状态转换到s4,同时输出1;如果以后再连续输入1,则状态仍停留在s4,准备接受更多的1,且输出为1;无论电路处于何种状态,一旦输入为0时,便破坏了连续接受1的条件,电路均返回初始状态s0,且输出为0。

三、 系统原理图。

四、 程序清单。

module cjl

title ‘1111sjjcq’

clk,m,z pin 11,12, 68;

q2,q1,q0 pin 71,70,69 istype 'reg';

c,x=.c.,.x.;

q=[q2,q1,q0];

s0=^b000;s1=^b001;s2=^b010;s3=^b011;s4=^b100;

equations

state_diagram q

state s0:case(m==0):s0 with z=0;

m==1):s1 with z=0;

endcase;

state s1:case(m==0):s0 with z=0;

m==1):s2 with z=0;

endcase;

state s2:case(m==0):s0 with z=0;

m==1):s3 with z=0;

endcase;

state s3:case(m==0):s0 with z=0;

m==1):s4 with z=0;

endcase;

state s4:case(m==0):s0 with z=0;

m==1):s4 with z=1;

endcase;

test_vectors

[m,clk]->q,z])

0,c]->x,x];

0,c]->x,x];

1,c]->x,x];

1,c]->x,x];

1,c]->x,x];

1,c]->x,x];

0,c]->x,x];

1,c]->x,x];

1,c]->x,x];

1,c]->x,x];

0,c]->x,x];

1,c]->x,x];

1,c]->x,x];

0,c]->x,x];

1,c]->x,x];

0,c]->x,x];

end五、 **波形。

一、 设计题目及要求。

8路移位寄存型彩灯控制器

设计一个8路彩灯控制电路,要求:

(1)彩灯明暗变换节拍为0.25s和0.5s,两种节拍交替运行;

(2)演示花型3种:① 从左到右顺次序亮,全亮后逆次序渐灭;② 从中间到两边对称地逐渐亮,全亮后仍由中间向两边逐次渐灭;③ 8路彩灯分两半,从左至右顺次渐亮,全亮后则全灭。

要求该系统能控制8路彩灯,以上述两种节拍、3种花型循环演示。

二、 设计分析。

8路彩灯控制器如上图,cp1为输入时钟,输出q7~q0用于控制8路彩灯,高电平有效,en为使能信号,低电平有效。

三、 系统原理图。

四、 程序清单。

module lights

cp1,en pin 7,11;

q7..q0 pin 75,74,73,72,71,60,69,68 istype'reg';

cp2,k,d1,d0 node istype'reg';

c,x=.c.,.x.;

q=[q7..q0];

set=[k,d1,d0,q];

equations

cp2:=!cp2;

truth_table ([k,d1,d0,q7,q6,q5,q4,q3,q2,q1,q0]:>

k,d1,d0,q7,q6,q5,q4,q3,q2,q1,q0])

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...