EDA课程设计

发布 2022-09-30 20:10:28 阅读 2730

摘要。现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次eda课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和vhdl语言着手,但侧重点在用vhdl语言上。首先简单介绍一下数字电路、eda、vhdl等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用vhdl语言特点,写出程序**,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用max+plusii软件**的结果部分图附录等部分。

关键词:置位;复位;锁存;计数器;七段显示器;max+plusii;译码器。

目录。摘要1

引言2 一、设计任务及要求2

二、题目分析与整体构思2

三、vhdl程序设计3

四、心得体会及模型评价与推广5

附录6参考文献10

引言。数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。

eda技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(cad),计算机辅助工程(cae),电子系统设计自动化(esda)3个阶段。前两个阶段的eda产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代eda工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。

vhdl(very high speed integrated circuit hardware description language)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本ieeestd1076-1987[lrm87]和ieeestd1076-1993[lrm93],他们并不完全兼容,但做一些修改就可以兼容了。

许多公司都为vhdl开发出了编译和**软件,其中max+plusii(或写成maxplus2,或mp2) 是altera公司推出的的第三代pld开发系统(altera***pld开发系统被称为:quartusii,主要用于设计新器件和大规模cpld/fpga).使用max+plusii的设计者不需精通器件内部的复杂结构。

设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,max+plusii把这些设计**动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用max+plusii,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。

设计处理一般在数分钟内内完成。特别是在原理图输入等方面。

一、设计任务及要求:

本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应。

显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。

二、题目分析与整体构思:

对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。

可以设四个人分别为输入端a,b,c,d;因为四个输入端在vhdl中,要求四个输入端应该是相等优先级别,但只要有一个输入端接入高电平时,就给其他信号一个反馈,使得他们的输入无效,及他们对应的指示灯不亮;所以我们可以也并行语句,让它们分为四个进程(process),同时也要求每个进程中都有反馈信号传给其他进程语句中,这样就可以完成抢答器基础部分了,设计的逻辑电路图部分见附录图一。其次就是计数器部分,当有人开始进入回答部分,指示灯亮,同时计数器开始工作,并要求是倒计时方式并七段显示出,通过这些消息我们可知,指示灯即是计数器开始倒计时的信号,本设计中采用了元件例化(component)语句,在抢答器中给个选手已经开始回答了的信号插口,给计数器中的置零输入端,这样就基本上完成了设计,计数器采用倒计时方式,实现方式也很简单,只要给他们最初赋值为99,而后在每个上升沿来到减一,具体设计情况还是看看程序设计部分吧,在附录部分还有这个99倒时计数器的逻辑电路图。

三、vhdl程序设计:

根据上面的分析可知,我们只要用四个进程语句,就可以处理好四位选手的相互限制的问题,再将四个指示灯用相与来控制计数器的置零端set,再用一个元件话语句component连接计数器,下面是具体的抢答器的vhdl语言**:

**中a、b、c、d分别代表四位参赛选手,reset是主裁判员的控制开关,clk是计数器的时钟信号,music是警告的控制开关,count1和cont2代表的是99倒计时的七段显示二进制码直接与led显示器连接,alight,blight,clight,dlight分别代表四位选手的显示灯。

library ieee;

use use

entity qiang_da is

port(a,b,c,d,reset:in std_logic;

clk:in std_logic;

music:out std_logic;

cout1:out std_logic_vector(6 downto 0);

cout2:out std_logic_vector(6 downto 0);

alight,blight,clight,dlight:out std_logic);

end;architecture one of qiang_da is

component counter99

port(set,clk:in std_logic;

music:out std_logic;

cout1:out std_logic_vector(6 downto 0);

cout2:out std_logic_vector(6 downto 0));

end component;

signal a1,b1,c1,d1:std_logic;

signal a2,b2,c2,d2:std_logic;

signal set:std_logic;

begina2<=not a1 ;

b2<=not b1 ;

c2<=not c1 ;

d2<=not d1 ;

l1:process(a)

begina1<=(a and b2 and c2 and d2) ;

end process l1;

l2:process(b)

beginb1<=(b and a2 and c2 and d2);

end process l2;

l3:process(c)

beginc1<=(c and a2 and b2 and d2);

end process l3;

l4:process(d)

begind1<=(d and a2 and c2 and b2);

end process l4;

l5:process(a1,b1,c1,d1,reset)

beginif reset='0' then

alight<='0';blight<='0';clight<='0';dlight<='0';set<='0';

else alight<=a1;blight<=b1;clight<=c1;dlight<=d1;set<=(a1 or b1 or c1 or d1);

end if;

end process l5;

u0:counter99 port map(set,clk,music,cout1,cout2);

end;下面是99倒数计数器的vhdal语言**:

library ieee;

use use

entity counter99 is

port( set,clk:in std_logic;

music:out std_logic;

cout1:out std_logic_vector(6 downto 0);

cout2:out std_logic_vector(6 downto 0));

end;architecture beh**e of counter99 is

signal music1:std_logic;

signal high:std_logic_vector(3 downto 0):=1001";

signal low:std_logic_vector(3 downto 0):=1001";

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...