EDA课程设计

发布 2022-09-30 19:50:28 阅读 2506

设计名称:数值比较器。

专业班级:学号。

姓名。设计任务:

设计一个计四位二进制码比较器,已达到一下几个实验目的:

1.用硬件描述语言编写四位二进制码比较器的源文件;

2.对设计进行**验证;

3.编程**并在实验开发系统上进行硬件验证。

4. 掌握eda开发工具的vhdl设计方法。

设计功能:在数字系统中,特别是在计算机中都需要具有运算功能,一种简单的运算就是比较两个数a和b的大小。而四位数值比较器是数字电路中应用最广泛的一种比较器,所以本设计实现两个4位二进制码的比较,输入为两个4位二进制码a3a2a1a0和b3b2b1b0,输出为m(a=b),g(a>b)和l(a设计流程图:

使用quartusii分配管脚。

软件编写程序

功能****程序连观察实验现。

接试验箱象。

1. 实验目的。

1. 设计四位二进制码比较器,并在实验开发系统上验证。

2.学习层次化设计方法。

2. 实验器材。

pc机、fpga实验箱。

3. 实验原理。

实验原理图如下:

四位二进制码比较器框图。

实验电路图。

在quartus ii对程序进行编辑、编译、综合、适配、**。

**波形:2)引脚锁定以及硬件**测试。

引脚锁定后进行编译、**和硬件测试实验。

通过查表“gw48 eda系统实验信号名与芯片引脚对照表”决定引脚号。

引脚锁定如下图所示:

**和硬件测试:

将程序**到试验箱中后,选择模式五。利用键盘输入数据,实现了数据的大小比较,并通过led灯将实验结果表示了出来。

实验结果及分析。

由**波形可以看出:

当a2a1a0大于b2b1b0时,11引脚输出高电平,由11引脚控制的led灯会点亮;当a2a1a0小于b2b1b0时,32引脚输出高电平,由32引脚控制的led灯会点亮;当a2a1a0等于b2b1b0时,33引脚输出高电平,由33引脚控制的led灯会点亮。.

综上,此程序实现了四位二进制码的大小比较功能,试验成功。

6.实验心得。

本实验实现了两个4位二进制码的比较器,通过键盘输入两个4位二进制码a3a2a1a0和b3b2b1b0,输出为m(a=b),g(a>b)和l(a

实验程序如下:

libraryieee;

entitycomp4is

port(a3,a2,a1,a0:instd_logic;

b3,b2,b1,b0:instd_logic;

g,m,l:outstd_logic);

endcomp4;

architecturebeh**eofcomp4is

beginp1:process(a3,a2,a1,a0,b3,b2,b1,b0)

variablecomb1,comb2:std_logic_vector(3downto0);

begincomb1:=a3&a2&a1&a0;

comb2:=b3&b2&b1&b0;

if(comb1>comb2)theng<=’1’;m<=’0’;l<=’0’;

elsif(comb1elsel<=’1’;g<=’0’;m<=’0’;

endif;

endprocessp1;

endbeh**e;

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...