EDA课程设计

发布 2022-09-30 19:48:28 阅读 5020

目录。一、 前言 2

1. eda简介 2

2. 软件和硬件说明 2

二、 课程设计内容与要求分析 2

1. 设计题目 3

2. 设计要求 3

3. 设计要求分析 3

三、 控制程序设计 3

1. 工作原理 3

2. 设计子系统 4

3. 功能描述及硬件说明 11

4. **调试 13

5. **及结果 14

6. 数据处理分析 14

四、 工作进程及收获体会 15

1. 工作进程安排 15

2. 收获体会 15

五、 参考文献 15

一、 前言。

简介。(1)eda技术的概念

eda是电子设计自动化(electronic design automation)的缩写,是90年代初从cad(计算机辅助设计)、cam(计算机辅助制造)、cat(计算机辅助测试)和cae(计算机辅助工程)的概念当中发展而来的。

eda 设计可分为系统级、电路级和物理实现级。物理级设计主要指ic版图设计,一般由半导体厂家完成;系统级设计主要面对大型复杂的电子产品;而一般民用及教学所涉及基本是电路级设计。我们常用的eda软件多属于电路级设计。

电路级设计工作,是在电子工程师接受系统设计任务后,首先确定设计方案,并选择合适的元器件,然后根据具体的元器件设计电路原理图,接着进行第一次**。其中包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析、瞬态分析等。这一次**主要是检验设计方案在功能方面的正确性。

**通过后,根据原理图产生的电气连接网络表进行pcb板的自动布局布线,有条件的还可以进行pcb后分析。其中包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并可将分析后的结果参数反馈回电路图,进行第二次**,也称作后**。后**主要是检验pcb板在实际工作环境中的可行性。

2) eda技术的历史与发展

eda技术发展历程大致可分为三个阶段。20世纪70年代为计算机辅助设计(cad)阶段,人们开始用计算机取代手工操作进行ic版图编辑、pcb布局布线。80年代为计算机辅助工程(cae)阶段。

与cad相比,cae除了有纯粹的图形绘制功能外,又增加了电路功能分析和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。20世纪90年代为电子系统设计自动化(eda)阶段,同时又出现了计算机辅助工艺(capp)、计算机辅助制造(cam)等。

3) eda的应用

现在eda技术应用广泛,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有eda的应用。目前eda 技术已在各大公司、科研和教学部门广泛使用。

在产品设计与制造方面,eda 技术可实现前期的计算机**、系统级模拟及测试环境的**、pcb的制作、电路板的焊接、asic的设计等。

2.软件和硬件说明。

在本次eda课程设计中,用的编程软件是max + plus ⅱ,max + plus ⅱ是美国altera 公司的一种eda 软件,vhdl语言设计采用自顶向下、由粗到细,逐步分解的设计方法。max + plus ⅱ支持多种设计输入方式,如原理图输入、波形输入、文本输入和它们的混合输入。设计输入完后,用max + plus ⅱ的编译器编译、查错、修改直到设计输入正确,同时将对输入文件进行逻辑简化、优化,最后生成一个编程文件。

在硬件方面,用的是edagw48实验开发系统,资源较为丰富,可以有效地模拟实验结果。

二、 课程设计内容与要求分析。

1.设计题目。

交通信号灯控制器。

2.设计要求。

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号,实验电路用逻辑开关代替。

3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路,选择1hz时钟脉冲作为系统时钟。

5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

3.设计要求分析。

交通信号灯控制器是用来控制道路口红绿灯,从而控制过往车辆有序的行驶的的工具,在题目中要求的是主道和支道的十字路口,所以在实现一般的红绿灯转换的前提下还得考虑到主道与支道之间的关系,其要求是支道没车的情况下,只有主干道绿灯,而当主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路,选择1hz时钟脉冲作为系统时钟。通过定时器来实现时间的设定。

三、 控制程序设计。

1. 工作原理。

该系统主要由计数模块、控制模块、分频模块、分位模块以及显示电路构成。其中分频模块主要将系统输入的基准时钟信号转换是1 hz的激励信号。驱动计数模块和控制模块工作,控制模块根据计数器的计数情况对交通灯的亮灭及持续时间进行控制,并通过分位电路将灯亮时间以倒计时的形式通过数码管显示出来。

总的设计框图如下。

1khz1khz1hz

支干道车辆检测。

1khz 数码管及led信号

2. 设计子系统。

1)分频器。

分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。该分频器实现的是一千分频,将一千赫兹的时钟信号分频成一赫兹的时钟信号。

程序。library ieee;

use entity fredevider is

port(clkin:in std_logic;

clkout:out std_logic);

end;architecture devider of fredevider is

constant n:integer:=499;

signal counter:integer range 0 to n;

signal clk:std_logic;

begin

process(clkin)

begin

if rising_edge(clkin)then

if counter=n then

counter<=0;

clk<=not clk;

elsecounter<=counter+1;

end if;

end if;

end process;

clkout<=clk;

end2)控制器设计。

控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。本控制器可以有两种设计方法,一种是利用时钟沿的下降沿读取前级计数器的计数值,然后作出反应;另一种则是将本模块设计成纯组合逻辑电路,不需要时钟驱动。

程序。library ieee;

use entity countroller is

port (clock:in std_logic;

hold:in std_logic;

countnum:in integer range 0 to 89;

numa,numb:out integer range 0 to 45;

reda,greena,yellowa:out std_logic;

redb,greenb,yellowb:out std_logic);

end;architecture beh**ior of countroller is

beginprocess(clock)

beginif falling_edge(clock)then

if hold='1' then

reda<='1';

redb<='1';

greena<='0';

greena<='0';

yellowa<='0';

yellowb<='0';

elsif countnum<=39 then

numa<=40-countnum;

reda<='0';

greena<='1';

yellowa<='0';

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...