EDA流水灯课程设计

发布 2022-09-30 21:24:28 阅读 6866

黄冈师院物电学院。

eda技术》课程设计报告。

项目名称: 多模式流水灯设计

专业年级: 电子信息工程2010级

学号: 201022240202

学生姓名。黄冈师院物电学院。

eda技术》课程设计报告。

项目名称: 多模式流水灯设计

专业年级: 电子信息工程2010级

学号: 201022240202

学生姓名。指导教师冯杰。

报告完成日期 2012 年 12 月 30 日。

评阅结果评阅教师

eda技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。eda技术就是以计算机为工具,设计者在eda软件平台上,用硬件描述语言vhdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和**,直至对于特定目标芯片的适配编译、逻辑映射和编程**等工作。eda技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

设计可以多模式控制的流水灯,并用max+plusⅱ10.2进行编译和**,可以在实验箱上实现自己的需求功能。

可以进行不同频率选择及不同模式选择进行组合,必须有手动选择组合,至少4种流水灯方式。

设计原理 : 由分频器实现的分频,设计一个十六进制计数器,由低位控制四选一电路,高位控制模式选择电路。模式选择则由状态机来实现四种状态之间的转换。

设计程序如下:

library ieee;

use use

use entity clk_div is

port(clk : in std_logic;

clk_div2 :out std_logic;

clk_div4 :out std_logic;

clk_div8 :out std_logic;

clk_div16 :out std_logic);

end clk_div;

architecture rtl of clk_div is

signal count : std_logic_vector (3 downto 0);

beginprocess(clk)

beginif (clk'event and clk='1') then

if(count="1111") then count<=(others=>'0');

else count<=count+1;

end if;

end if;

end process;

clk_div2<=count(0);

clk_div4<=count(1);

clk_div8<=count(2);

clk_div16<=count(3);

end rtl;

**分析:本程序用vhdl语言,对时钟信号进行2分频、4分频、8分频、16分频。由**波形图看出的时钟周期分别是clk的2倍,4倍,8倍,16倍。

所以该程序实现了2分频、4分频、8分频、16分频,及达到了设计目的。

**波形:程序如下:

library ieee;

use entity mux4_1 is

port (a,b,c,d: in std_logic;

s1,s2: in std_logic;

yout std_logic);

end entity mux4_1;

architecture one of mux4_1 is

beginy <=a when s1 = 0' and s2='0'

else b when s1 = 0' and s2='1'

else c when s1 = 1' and s2='0'

else d when s1 = 1' and s2='1'

else '0';

end architecture one;

**波形:**结果分析:由**图可以看出,当s1=时,输出y=a当s1=时,输出y=b当s1=时,输出y=c当s1=时,输出y=d所以,该程序实现了四选一的目的,达到了设计要求。

程序如下:library ieee;

use use

entity cnt_16 is

port( clrn,clk: in std_logic;

a:out std_logic_vector(1 downto 0);

b:out std_logic_vector(1 downto 0));

end cnt_16;

architecture a of cnt_16 is

beginprocess(clk,clrn)

variable tmpha:std_logic_vector(3 downto 0);

EDA流水灯课程设计

黄冈师院物电学院。eda技术 课程设计报告。项目名称 多模式流水灯设计 专业年级 电子信息工程2010级 学号 201022240202 学生姓名。黄冈师院物电学院。eda技术 课程设计报告。项目名称 多模式流水灯设计 专业年级 电子信息工程2010级 学号 201022240202 学生姓名。指导...

流水灯单片机课程设计报告

课程名称 单片机。设计题目 流水灯。姓名 覃家应陈东阳 专业 生物医学工程。班级 10级医工本一班。学号 100615062 指导教师 王佑湖。2012年11月10日。1引言21.1设计任务2 1.2设计要求2 2 课题综述2 2.1课题的 2 2.2面对的问题2 3 系统分析2 3.1 89c52...

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...