EDA课程设计

发布 2022-09-30 20:32:28 阅读 4640

《电子系统设计自动化》课程设计报告。

学院机电工程学院。

题目数字时钟电路设计。

课程: 《电子系统设计自动化》课程设计

专业班级电信10级2 班。

学生姓名: 刘星秦玉杰王艳艳

学号: 1004101035 1004101036 1004101038

完成日期:2024年 12 月 27 日。

目录。摘要4

一课程设计的任务和基本要求。

1.1目的和任务4

1.2功能要求4

1.3总体框图4

1.4设计原理5

1.5性能描述及功能设计5

二设计方案。

2.1 顶层实体描述6

2.2模块自顶向下的分解7

2.3模块描述7

2.4顶层电路图8

三方案实现。

3.1各模块**及描述8

3.2顶层电路**及描述10

四硬件测试及说明。

五心得体会。

参考文献11

六附录。6.1源程序12

摘要: eda(electronic design automation)电子设计自动化,就是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成用软件方式设计的电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次实习利用quartusii为设计软件、vhdl为硬件描述语言,结合所学的数字电路的知识设计一个24时多功能数字钟,具有正常时、分、秒计时,动态显示,清零、快速校时校分、整点报时、花样显示等功能。

利用硬件描述语言vhdl对设计系统的各个子模块进行逻辑描述,采用模块化的设计思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑分割、逻辑综合优化、逻辑布线、逻辑**,最终将设计的软件系统**设计实验系统,对设计的系统进行硬件测试。

1、 课程设计基本要求和任务。

eda课程设计》是继《模拟电子技术基础》、《数字电子技术基础》课程后,电信专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的一个部分。

1.1 目的和任务

1) 通过课程设计使学生能熟练掌握一种eda软件(quartusii)的使用方法,能熟练进行设计输入、编译、管脚分配、**等过程,为以后进行工程实际问题的研究打下设计基础。

2) 通过课程设计使学生能利用eda软件(quartusii)进行至少一个电子技术综合问题的设计,设计输入可采用图形输入法或vhdl硬件描述语言输入法。

3) 通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。

4) 通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。

1.2 功能要求:

1) 具有时、分、秒计数显示功能,以24小时循环计时。

2) 时钟计数显示时有led灯的花样显示。

3) 具有调节小时、分钟、秒及清零的功能。

4) 具有整点报时功能。

1.3 总体方框图:

本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用vhdl语言设计,顶层文件用原理图的设计方法。显示:

小时采用24进制,而分钟均是采用6进制和10进制的组合。

1.4 设计原理:

数字钟电路设计要求所设计电路就有以下功能:时、分、秒计时显示,清零,时、分调节,整点报时及花样显示。分、秒计时原理相似,可以采用60进制bcd码计数器进计时;小时采用24进制bcd码进行计时;在设计时采用试验电路箱上的模式7电路,不需要进行译码电路的设计;所设计电路具有驱动扬声器和花样显示的led灯信号产生。

试验箱模式7的电路如图一所示:图一模式七实验电路图。

1.5 性能指标及功能设计:

1) 时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。

2) 时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字数字钟具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1hz的,所以每led灯变化一次就来一个脉冲,即计数一次。

3) 清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。

4) 蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答。滴答”的报警声音。

5) led灯在时钟显示时有花样显示信号产生。即根据进位情况,led不停的闪烁,从而产生“花样”信号。

二、 设计方案。

2.1 顶层实体描述。

前面已经完成了电子时钟电路的各个组成部分的设计,下面把这些组成部分组装起来,形成完整的总体设计。该电子时钟的命名为clock,其外部端口如图七所示。

各个输入/输出端口的作用如下:

1) clk为外部时钟信号,其频率为1hz,reset为异步清零信号。

2) sethour和setmin分别为调时调分脉冲输入信号,当en_set为高电平时,每来一个sethour脉冲或。

setmin脉冲,时、分输出将分别加1;

3) second[6...0]为秒的个位和十位bcd码输出,min[6…0]为分钟的个位和十位bcd码输出,hour[6...0]为小时的个位和十位bcd码输出,它们最终中用来驱动七段数码管,lamp[2...

0]为花样显示输出信号,speak为整点报时扬声器驱动信号

2.2 模块划分自顶向下分解

2.3 模块描述

时钟计时模块完成时、分、秒计数,及清零、调节时和分钟的功能。时、分、秒计数的原理相同,均为bcd码输出的计数器,其中分和秒均为六十进制bcd码计数器,小时为二十**制bcd码计数器。设计一个具有异步清零和设置输出功能的六十进制bcd码计数器,再设计一个具有异步清零和设置输出功能的二十**制计数器,然后将它们通过一定的组合构成时钟计时模块。

各个输入/输出端口的作用为:

1) clk为计时时钟信号,reset为异步清零信号;

2) sethour为小时设置信号,setmin为分钟设置信号;

3) daout[5…0]为小时的bcd码输出, daout[6...0]为秒和分钟的bcd码输出,enmin和enhour为使能输出信号。

4) 在时钟整点的时候产生扬声器驱动信号和花样显示信号。由时钟计时模块中分钟的进行信号进行控制。当contr_en为高电平时,将输入信号clk送到输出端speak用于驱动扬声器,同时在clk的控制下,输出端lamp[2..

0]进行循环移位,从而控制led灯进行花样显示。输出控制模块有扬声器控制器和花样显示控制器两个子模块组成

2.4 顶层电路图。

顶层文件是由四个模块组成,分别是时、分、秒计数器和报警的vhdl语言封装而成。经过锁定引脚再重新编译获得如下顶层原理电路图:

三、方案实现。

3.1 各模块**及描述。

1) 秒计数器模块**图:将标准秒信号送入”秒计数器”,秒计数器采用60进制计数器,每累计60秒发出一个分脉冲信号,该信号将作为分计数器的时钟脉冲,daout代表秒输出。

2) 分计数器电路**图:也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器,daout端口代表分钟输出

3)小时计数器电路**图:时计数器采用12进制计时器,可实现对24小时累。

计。每累计12小时,发出一个脉冲信号。

3.2 顶层电路**及描述。

实现了数字钟的设计,**结果满足设计要求。

四、 硬件测试及说明。

进行硬件验证时,采用试验箱上的模式七电路图,如前面的图一所示。七段数码管1和2做为秒计时输出,4和5做为分钟计时输出,7和8做为小时计时输出,八个发光管d1、d2和d3用做花样显示,键8为清零输入信号reset,键7和键4分别用做调时sethour和调分setmin,时钟信号clk由试验箱内部提供。硬件验证前进行引脚的配置,单击“assignments”菜单下的“assignment editor”命令,再在弹出的引脚配置器中进行引脚配置,引脚配置结果如图。

引脚配置完成后再进行一次全程编译,无误则可以**到试验箱上进行硬件测试。硬件验证的方法如下:选择实验模式7;时钟脉冲clk与clock0(1024hz)信号相连;键8和键5均为低电平,时钟正常计时,数码管1和2显示秒,数码管4和5显示分钟,数码管7和8显示小时;键8为高电平时,时钟清零;键5为高电平时,按下键7和键4进行调时调分操作;当时钟为整点的时候,三个发光二极管进行循环移位操作,同时扬声器发声。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...