EDA课程设计

发布 2022-09-30 19:54:28 阅读 3696

一、实验目的。

eda技术》是电子信息工程专业的一门专业课,该门课程具有很强的理论及实践性。通过对该课程的学习,使学生掌握相关基本概念、基本理论和基本技能,为今后从事相应的生产设计和科研工作打下一定的基础。除课程的理论教学和实验教学外,课程设计也是一个必要和重要的实践教学环节。

通过该课程设计,让学生掌握eda的基本方法,熟悉vhdl语言和一种eda软件(quartus ii),并能利用eda软件设计一个电子技术综合问题,进一步培养学生分析解决工程实际问题的能力,为以后的毕业设计和进行工程实际问题的研究打下设计基础。

二、实验内容。

汽车尾灯控制器的设计。

1、设计内容。

选用合适的可编程逻辑器件及外围电子元器件,设计一个汽车尾灯控制器,利用eda软件(quartus ⅱ)进行编译及**,设计输入可采用vhdl硬件描述语言输入法和原理图输入法,并**到eda实验开发系统,连接外围电路,完成实际测试。

2、设计要求。

1)汽车尾部左右两侧各有多盏指示灯。

2)汽车正常行驶时指示灯都不亮。

3)汽车右转弯时,右侧的一盏指示灯亮。

4)汽车左转弯时,左侧的一盏指示灯亮。

5)汽车刹车时,左右两侧的一盏指示灯同时亮。

6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用。

3、设计参数

方案选择与论证。

根据系统设计要求,系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方案,它是由时钟分频模块、汽车尾灯主控模块、左边灯控制模块、右边灯控制模块四部分组成。

系统设计详述。

系统的输入信号包括:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night。

系统的输入信号包括:汽车左侧4 盏指示灯lled1,lled2,lled3,lled4和汽车右侧4 盏指示灯rled1,rled2,rled3,rled4。

当汽车正常行驶时所有的指示灯都不亮,当汽车向左转时,汽车左边的指示灯lled1亮,当汽车向右转时,汽车右边的指示灯rled1亮,当汽车刹车时,左右的lled2、rled2亮,当汽车夜间行驶时,汽车左右的lled3、lled4、rled3 、rled4一直亮。

汽车尾灯主控模块。

vhdl程序(

library ieee;

use entity ctrl is

port(left,right,brake,night: in std_logic;

lp,rp,lr,brake_led,night_led: out std_logic);

end;architecture art of ctrl is

begin

night_led<=night;

brake_led<=brake;

process(left,right)

variable temp:std_logic_vetor(1 downto 0);

begin

temp:=left&right;

case temp is

when "00"=>lp<='0';rp<='0';lr<='0';

when "01"=>lp<='0';rp<='1';lr<='0';

when "10"=>lp<='1';rp<='0';lr<='0';

when others =>lp<='0';rp<='0';lr<='1';

end case ;

end process;

end art;

功能:以left、right、brake、night为输入端口,高电平有效,lp、rp、lr、brake_led、night_led 为输出端口。将night端的信号给night_led,将brake的信号给brake_led,用case语句来控制lp(左灯输入)、rp(右灯输入)、lr(左右同时输入),left为高电平时,左模块工作,当right为高电平时,右模块工作,当lr为高电平时,左右灯都没有信号输入。

从而实现对左右控制模块的控制。

左边灯控制模块。

vhdl程序(

library ieee;

use entity lc is

port(clk,lp,lr,brake,night:in std_logic;

ledl,ledb,ledn1, ledn2:out std_logic);

end;architecture art of lc is

beginledb<=brake;

ledn1<=night;

ledn2<=night;

process(clk,lp,lr)

beginif clk'event and clk='1' then

if(lr='0') then

if(lp='0')then

ledl<='0';

elseledl<='1';

end if;

elseledl<='0';

end if;

end if;

end process;

end art;

功能:以clk、lp、lr、brake、night为输入端口, 高电平有效, ledl、ledb、ledn1、ledn2 为输出端口。将brake信号给ledb端口,night信号分别给ledn1、ledn2,当clk的上升沿到来时,如果lr、lp都同时为低电平时,ledl端口输出低电平,当lr为低电平lp为高电平时,ledl输出高电平,当lr为高电平时,ledl端口输出低电平。

通过ledl、ledb、ledn1、ledn2的电平信号来控制汽车左边的尾灯。

右边灯控制模块。

vhdl程序(

library ieee;

use entity rc is

port(clk,rp,lr,brake,night:in std_logic;

ledr,ledb,ledn1, ledn2:out std_logic);

end;architecture art of rc is

beginledb<=brake;

ledn1<=night;

ledn2<=night;

process(clk,rp,lr)

beginif clk'event and clk='1'then

if (lr='0') then

if (rp='0') then

ledr<='0';

elseledr<='1';

end if;

elseledr<='0';

end if;

end if;

end process;

end art;

功能: 以clk、rp、lr、brake、night为输入端口, 高电平有效, ledr、ledb、ledn1、ledn2 为输出端口。将brake信号给ledb端口,night信号分别给ledn1、ledn2,当clk的上升沿到来时,如果lr、rp都同时为低电平时,ledl端口输出低电平,当lr为低电平rp为高电平时,ledl输出高电平,当lr为高电平时,ledl端口输出低电平。

通过ledl、ledb、ledn1、ledn2的电平信号来控制汽车右边的尾灯。

时钟分频模块。

vhdl程序(

library ieee;

use use

entity sz is

port(clk:in std_logic;

cp:out std_logic);

end;architecture art of sz is

signal count:std_logic_vector(7 downto 0);

beginprocess(clk)

beginif clk'event and clk = 1' then

count<= count + 1;

end if;

end process;

cp<= count(3);

end art;

功能:这块的功能是对左右两边的lled1、rled1的闪烁时间间隔,以clk为输入信号, cp为输出信号,在程序中定义一个八位节点信号count来放计数值,当clk的上升沿到来时就开始计数,最后将count(3)给cp,实现对clk的八分频。

再将cp的电平信号分别和ledl、ledr电平与,最后用输出的电平来控制汽车左右的lled1、rled1,实现左右转的指示功能。

原理图。**波形图。

三、实验体会。

汽车尾灯控制的设计,这个设计的思路原理都比较清晰,我采用的是模块化的设计,主要由主控模块、左右灯控制模块和分频模块组成,每个模块的功能都是用程序来生成的。

这次课程设计对实验的步骤和软件quartus ii的操作有更高的要求,在整个设计过程中使我对vhdl语言有的更深的理解,对软件quartus ii操作起来也更是得心应手。

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...