EDA课程设计

发布 2022-09-30 19:43:28 阅读 8660

辽宁工程技术大学。

集成电路eda技术课程设计报告。

题目汽车尾灯控制电路的设计

指导教师李书艳。

院(系、部电子与信息工程学院

专业班级电子09-2

学号0906040211

姓名刘菊。日期2024年6月12日

第一章设计要求。

1.1 设计的目的。

本次设计的目的就是通过实践深入理解计算机组成原理,了解eda技术[2]并掌握vhdl硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的vhdl语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高ic设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

1.2 设计的基本内容。

根据计算机中状态机原理,利用vhdl设计汽车尾灯控制器的各个模块,并使用eda 工具对各模块进行**验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

第二章汽车尾灯控制器的设计过程。

2.1系统需求分析。

根据设计要求,汽车尾灯控制电路应实现以下四点要求:

1、汽车尾部左、右各3个尾灯,当汽车往前行驶时,6个灯全灭;

2、当汽车转弯时,如果右转弯,则右边的3个尾灯从左至右顺序亮灭,左边3个灯全灭;

3、如果左转弯,则左边的3个尾灯从右至左顺序亮灭,右边3个灯全灭;

4、当停车时,6个尾灯同时明、暗闪烁。

5、夜间行驶时6个尾灯全亮。

2.2汽车尾灯控制电路的工作原理。

汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯rd1亮;当汽车向左侧转弯时,汽车左侧的指示灯ld1亮;当汽车刹车时,汽车右侧的指示灯rd2和汽车左侧的指示灯ld2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯rd3和汽车左侧的指示灯ld3同时一直亮。通过设置系统的输入信号:

系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night和系统的输出信号:汽车左侧3盏指示灯ld1、ld2、ld3和汽车右侧3盏指示灯rd1、rd2、rd3实现以上功能。

系统设计整体框图如下图1所示:

图1 系统设计整体框图。

系统的整体组装设计原理如附录1所示。

2.3各组成模块原理及程序。

汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

2.3.1时钟分频模块。

整个时钟分频模块的工作框图如图2所示。

图2 时钟分频模块工作框图。

时钟分频模块由vhdl程序来实现,如附录2-2所示。

2.3.2 汽车尾灯主控模块。

汽车尾灯主控模块工作框图如图3所示。

图3 主控模块工作框图。

汽车尾灯主控模块由vhdl程序来实现,如附录2-1所示:

2.3.3左边灯控制模块

左边灯控制模块的工作框图如图4所示。

图4 左边灯控制模块的工作框图。

左边灯控制模块由vhdl程序来实现,如附录2-4所示。

2.3.4右边灯控制模块。

右边灯控制模块的工作框图如图5所示。

图5 右边灯控制模块的工作框图。

右边灯控制模块由vhdl程序来实现,如附录2-3所示。

第三章系统**。

3.1分频模块**及分析。

分频模块由vhdl程序实现后,其**图如图6所示。

图6 分频模块**图。

3.2汽车尾灯主控模块**及分析。

汽车尾灯主控模块由vhdl程序实现后,其**图如图7所示。

图7 主控模块时序**图。

对时序**图进行分析:right,left,night,brake 为输入信号,right为1表示右转,left为1表示左转,night为1表示夜间行路,brake为1表示刹车。rp,lp,night_led,brake_led为输出信号。

如图所示:当right为1时,产生一个rp为1的信号脉冲输出,当left为1时,产生一个lp为1的信号脉冲输出,当night为1时,产生一个night_led为1的信号脉冲输出。当brake为1时,产生一个brake_led为1的信号脉冲输出。

3.3左边灯控制模块**及分析。

左边灯控制模块由vhdl程序实现后,其**图如图8所示。

图8 左边灯控制模块时序**图。

对时序**图进行分析:lp,lr,night,brake 为输入信号,lp为1表示左转,lr为1表示右转,night为1表示夜间行路,brake为1表示刹车。ledl,ledb,ledn为输出信号,表示汽车左侧的三盏灯。

如图所示:当lp为1时,ledl输出为1表示左侧灯亮,当brake为1时,ledb输出为1表示左侧灯亮,当night为1时,ledn输出为1表示左侧灯亮。当lr为1时,左侧三盏灯输出均为0。

即没有灯亮。

3.4右边灯控制模块**及分析。

右边灯控制模块由vhdl程序实现后,其**图如图9所示。

图9 右边灯控制模块时序**图。

对时序**图进行分析:rp,lr,night,brake 为输入信号,lr为1表示左转,rp为1表示右转,night为1表示夜间行路,brake为1表示刹车。ledr,ledb,ledn为输出信号,表示汽车右侧的三盏灯。

如图所示:当rp为1时,ledr输出为1表示右侧灯亮,当brake为1时,ledb输出为1表示右侧灯亮,当night为1时,ledn输出为1表示右侧灯亮。当lr为1时,右侧三盏灯输出均为0。

即没有灯亮。

第四章心得体会。

通过这几天的努力,完成了我的课程设计任务——汽车尾灯控制电路的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次课程设计不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。

谢谢老师指导!

参考文献。1] (美)拉拉(lala,现代数字设计与vhdl.北京:电子工业出版社,2010.7

2] 潘松。eda技术实用教程。北京:科学出版社,2010

附录1附录2

1、主控模块。

library ieee;

use entity liujuone is

port (left,right,brake,night: in std_logic;

lp,rp,lr,brake_led,night_led:out std_logic);

end liujuone;

architecture art of liujuone is

begin

night_led<=night;

brake_led<=bake;

process(left,right)

variable temp:std_logic_vector(1 downto 0);

begintemp:=left & right;

case temp is

when "00" =lp<='0';rp<='0';lr<='0';

when "01" =lp<='0';rp<='1';lr<='0';

when "10" =lp<='1';rp<='0';lr<='0';

when others=>lp<='0';rp<='0';lr<='1';

end case;

end process;

end art;

2、时钟分频模块。

library ieee;

use use

entity liujutow is

port(clk:in std_logic;

cp:out std_logic);

end liujutow;

architecture art of liujutow is

signal count:std_logic_vector(7 downto 0);

beginprocess(clk)

beginif clk'event and clk = 1'then

count <=count + 1;

end if;

end process;

cp<= count(3);

end art;

3、右边灯控制模块。

library ieee;

use entity liujurled is

port(clk,rp,lr,brake,night:in std_logic;

ledr,ledb,ledn: out std_logic);

end liujurled;

architecture art of liujurled is

begin

ledb<=brake;

ledn<=night;

process(clk,rp,lr)

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...