CPLD大作业 电子时钟设计

发布 2022-09-12 15:39:28 阅读 8524

cpld大作业报告。

电子时钟设计。

姓名:班级:控制(

学号:2015

实验日期:2023年12月15日。

一、实验内容:

1.使用cpld设计电子钟电路框图,并对主要功能进行解释;

2.设计小时、分、秒处理功能模块的vhdl程序;

二、实验要求:

1.编写小时、分、秒处理功能模块编程。

2.对**图形进行分析,对关键处的时序进行解释。

3.每个人的小时、分、秒数都不同。根据任务布置,本设计的小时、分、秒数分别为。

三、 模块设计。

1. 一个模块实现的数字钟设计方案。

1)时钟vhdl源程序。

library ieee;

use entity clk32 is

port(clrn,ldn,en,clk : in std_logic;

sa, ma, ha : in integer range 0 to 9;

sb, mbin integer range 0 to 3;

hbin integer range 0 to 2;

qsa,qma,qha : out integer range 0 to 9;

qsb,qmbout integer range 0 to 3;

qhbout integer range 0 to 2

end clk32;

architecture clock of clk32 is

beginprocess (clk)

variable tmpsa,tmpma,tmpha : integer range 0 to 9;

variable tmpsb, tmpmb : integer range 0 to 4;

variable tmphbinteger range 0 to 2;

beginif clrn='0' then

tmpsb :=0; tmpsa :=0;

tmpmb :=0; tmpma :=0;

tmphb :=0; tmpha :=0;

else if (clk'event and clk='1') then

if ldn='0' then

tmpsa:=sa; tmpsb:=sb; tmpma:=ma; tmpmb:=mb;

tmpha:=ha; tmphb:=hb;

elsif en='1' then

if (tmpsb=3 and tmpsa=1 and tmpmb=3 and tmpma=1) then

if (tmphb=2 and tmpha=3) then

tmpha:=0; tmphb:=0 ;

elsif tmpha=9 then

tmpha:=0; tmphb:=tmphb+1;

else tmpha:=tmpha+1;

end if;

end if;

if (tmpsb=3 and tmpsa=1) then

if tmpma=9 then

tmpma:=0; tmpmb:=tmpmb+1;

elsif (tmpmb=3 and tmpma=1) then

tmpmb:=0; tmpma:=0 ;

else tmpma:=tmpma+1;

end if;

end if;

end if;

if tmpsa=9 then

tmpsa:=0;tmpsb:=tmpsb+1;

elsif (tmpsb=3 and tmpsa=1) then

tmpsb:=0; tmpsa:=0 ;

else tmpsa :=tmpsa+1;

end if;

end if;

end if;

qsa<=tmpsa; qsb<=tmpsb;

qma<=tmpma; qmb<=tmpmb;

qha<=tmpha; qhb<=tmphb;

end process ;

end clock;

以上程序实现了,用统一化整体模块完成了对时钟的时、分、秒的相应的进制设计。其中,在时钟模块的功能端“clrn”、 ldn”、“en”同时为1时,在“clk”有一个时钟信号的上升沿时,输出“qsa”自行加1,每到加至32时,自行向分的个位进1,同时秒的十位和个位置为0,分钟和小时的计数功能与之类似。当“ldn”为0,“clrn”为1,“en”为1时,时钟模块实现加载功能。

2)原理连接图。

图1 时钟原理接线图。

本设计的实验接线原理图如图1所示。

3)波形**。

输出端口自下而上分别是:qsb(秒的十位)、qsa(秒的个位)、qmb(分的十位)、qma(分的个位)、qhb(时的十位)、qma(时的个位)。

图2 时钟电路时的进制**图。

图3 时钟电路分的进制**图。

图4 时钟电路秒的进制**图。

图5 时钟电路带清零功能**图。

由图5可见,当“clrn”端口为高电平时,时钟正常运行,当“clrn”端口输入为低电平时,时钟输出端清零。满足功能需求。

图6 时钟电路带加载功能**图。

由图6可见,当“ldn”端输入为低电平,“clrn”端和“en”端均为高电平时,在时钟电路的秒的输入端预先置好一些数,如图中分别置为6秒,0秒,13秒等,当一个时钟脉冲的上升沿来临时,相应的输出端会在原有的基础上加1秒,即分别输出为7秒,1秒,14秒。也就是加载功能也买足要求。

EDA课程设计 电子时钟

eda课程设计 电子时钟。by 凌步虚zjl 1 在信息产业中eda产生的影响。随着大规模集成电路技术和计算机技术的不断发展,在涉及通信 国防 航天 医学 工业自动化 计算机应用 仪器仪表等领域的电子系统设计工作中,eda技术的含量正以惊人的速度上升 电子类的高新技术项目的开发也逾益依赖于eda技术...

数电课程设计电子时钟

数字电子钟设计。摘要。数字钟被广泛用于个人家庭,车站,码头 办公室等公共场所,成为人们日常生活中的必需品。由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运用超过老式钟表,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警 按时自动打铃 时间程序自动控制 定时广播 自动起闭路灯...

数电课程设计报告电子时钟

淮海工学院。2010 2011学年第二学期。数字电子技术课程设计报告书。课题题目 数字时钟 专业 光信息科学与技术 班级 光电091 学号 090913109 姓名 洪昀 指导老师 薛清 第一章数字钟设计原理及组成框图。1.1 设计要求和要点。利用数字电路的理论和知识进行设计,一般应具有时分秒即使功...