EDA课程设计

发布 2022-09-30 20:02:28 阅读 6284

北华航天工业学院。

eda技术综合设计》

课程设计报告。

报告题目: 数字秒表设计

作者所在系部: 电子工程系。

作者所在专业: 自动化。

作者所在班级: b08221

作者姓名胡舟。

指导教师姓名: 崔瑞雪。

完成时间 : 2010/12/2

2、一小时数字秒表系统的实现1

1 功能描述1

2 模块结构1

3 设计及功能1

4 将各模块整合,组成完整的数字秒表程序5

3、程序**与实现5

1 **结果5

2 **53 硬件测试5

4、心得体会6

一概述。eda是很实用的**技术,使用简单,有很强的可读性和操作性。eda技术就是以计算机为工具,设计者在eda软件平台上,用硬件描述语言vhdl完成设计文件,然后由计算机自动的完成编译,**,直至对特定芯片进行程序**等工作。

eda技术的出现,极大提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。本次设计就是用eda技术来设计一个一小时的数字秒表。

二一小时数字秒表系统的实现。

1 功能描述。

当复位信号为1时,计数器全部清零,当复位信号为0,并且start 信号为1时,开始计数。然后,计数一个小时的时候,响铃开始响,铃声响十下结束。

2 模块结构。

四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数;

两个6进制计数器:用来分别对十秒和十分进行计数;

选择器:用来产生对应输出每个计数器计算结果;

显示译码器:完成对显示的控制;

报警器:用来完成一小时的十声响铃。

3 设计及功能。

1) 10进制计数器的编译语言如下:

library ieee;

use use

entity count10 is

port (clk,start,clr : in std_logic;

cout : out std_logic;

daout : out std_logic_vector(3 downto 0));

end count10;

architecture one of count10 is

signal q0 : std_logic_vector(3 downto 0);

signal q1 : std_logic;

beginprocess(clk,clr)

beginif clr='1' then q0<="0000";

elsif clk'event and clk='1' then

if start='1' then

if q0="1001" then

q0<="0000";

q1<='1';

else q0<=q0+1;

q1<='0';

end if;

end if;

end if;

end process;

daout<=q0;

cout<=q1;

end one;

2) 6进制计数器的编译语言如下:

library ieee;

use use

entity count10 is

port (clk,start,clr : in std_logic;

cout : out std_logic;

daout : out std_logic_vector(3 downto 0));

end count10;

architecture one of count10 is

signal q0 : std_logic_vector(3 downto 0);

signal q1 : std_logic;

beginprocess(clk,clr)

beginif clr='1' then q0<="0000";

elsif clk'event and clk='1' then

if start='1' then

if q0="0101" then

q0<="0000";

q1<='1';

else q0<=q0+1;

q1<='0';

end if;

end if;

end if;

end process;

daout<=q0;

cout<=q1;

end one;

3) 选择器的编译语言如下:

library ieee;

use use

entity seltime is

port (

clk:in std_logic;

clr:in std_logic;

dain0:in std_logic_vector(3 downto 0);

dain1:in std_logic_vector(3 downto 0);

dain2:in std_logic_vector(3 downto 0);

EDA课程设计

题目一 数字钟设计 学号1 15 一 实验目的。学习并掌握数字钟的原理 设计方法。二 实验内容。计数始终由模60秒计数器 模60分计数器 模24小时计数器 报时模块 分 时设定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。三 实验要求。计时范围为0小时0分0秒至23小时59分59秒...

eda课程设计

哈尔滨工业大学 威海 信电学院电子信息工程。一 软硬件介绍。1软件部分介绍。1.1 quartus ii 是altera公司的综合性pld fpga开发软件,支持原理图 vhdl veriloghdl以及ahdl altera hardware description language 等多种设计输...

eda课程设计

目录。1 引言 2 1.1 课程设计的目的与任务 2 1.2 课程设计的内容 2 1.3课程设计仪器设备 2 1.4 课程设计的题目 2 1.5 方案的选择 2 2设计方案 3 2.1 设计原理 3 2.2各功能模块的原理及其源程序 3 2.2.1控制模块 3 2.2.2分频模块 4 2.2.3计时...