EDA作业

发布 2022-09-02 20:49:28 阅读 2049

电子设计自动化大作业。

题目篮球30秒定时控制电路

学院控制科学与工程学院。

班级电检0901

姓名宋强。学号20090321189

二o一o 年六月二十日。

题目:篮球30秒定时控制电路。

一、设计要求:

1) 具有显示30s(30秒)计时功能。

2) 具有清零、启动、暂停、连续的功能。

3) 30s递减;

4) 30s递减至零时,数码显示器不能灭灯,同时发出声光报警倍号‘

二、总体设计:

总体结构图。

秒脉冲发生器计数器显示译码电路。

报警电路。控制电路。

设计思路:篮球30秒计时器包括秒脉冲发生器,计数器,译码显示器,辅助时序控制电路和报警电路等。其中计数器和控制电路事系统的主要部分。

计数器完成30秒计时功能,控制电路完成计数器的启动、暂停/连续计数。为保证系统的设计要求,在设计控制电路时,应正确处理各个信号间的时序关系。当启动开关k7闭合(低电平)时,计数器完成置数功能,译码显示电路显示30秒字样;当启动开关k7断开(高电平)、k8断开(高电平)时,计数器开始计数,当k8为闭合(低电平)时,计数器停止计数,k8再断开(高电平)时,继续累计技术。

计数器递减到零时,发出声光报警信号l=1(正常计数时l=0)。

三、单元模块设计。

1、模块名。

a:ic17模块完成计数器计时功能;b:tim模块完成始终脉冲发生信号功能。

3).根据功能模块的划分,利用abel_hdl语言完成设计。

篮球30秒计时器顶层电路中的ic17模块的程序设计程序为:

module ic17

title '30s'

clk,s,ld pin;

q7,q6,q5,q4,q3,q2,q1,q0 pin istype'reg';

l pin istype'com';

counth=[q7,q6,q5,q4];

countl=[q3,q2,q1,q0];

equations

l=(counth==0)&(countl==0);

30秒倒计时。

when((ld==1)&(s==1)&(countl==0)&(counth==0))then

elsewhen((ld==1)&(s==1)&(countl>0))

thenend

篮球30秒计时器顶层电路中的tim模块秒脉冲发生器的设计程序为:

module tim

clk pin;

q9..q0 node istype'reg';

h1,h512 pin istype'com';

q=[q9..q0];

equations

h1=(q==1);

h512=q0;

when(q==1023)then q:=0;

else q:=

end引脚适配:

pin assignments

pin namepin assignmentpin type, pin attribute

k86input, pullup

clk11clock input, pullup

q715output, pullup

q216output, pullup

q117output, pullup

q018output, pullup

q621output, pullup

fb22output, pullup

led30output, pullup

q537output, pullup

q438output, pullup

q339output, pullup

k741input, pullup

2.各模块功能。

1)编辑编译。

a:启动synario软件,建立一个新的工程项目,命名为建立一个新的目录存放选择器件isplsi1016e-80lj44。

b:建立逻辑元件符号ic17(模块名为ic17)和逻辑元件符号tim(模块名为tim)

c:调用逻辑元件ic17和tim,添加必要的连线,连线名称和i/o标记来完成顶层原理电路图。

d:建立abel——hdl源文件,e:分别编译tim(和exa17(编译完成并通过后,进入下一步。

f:引脚锁定。

3.实验调试和测试:

a:将编程电缆插入计算机的并行接口上,同时将编程电缆的另一端接在isp实验系统的**实验板上,然后打开isp实验系统的电源开关。

b:按照引脚编号链接线路。

c:利用“configruation”->scan bord”命令建立结构文件,用鼠标左键单击browse,查找到文件。

d:选择“command”->run operation”命令开始编程,出现pass表示编程完毕。即**完成。

四、**结果。

1) 具有显示30s(30秒)计时功能。

2) 具有清零、启动、暂停、连续的功能。

3) 30s递减;

4) 30s递减至零时,数码显示器不能灭灯,同时发出声光报警倍号‘

EDA作业

电子电路基础 eda作业。multisim的应用之晶体管放大器的设计与调测。本实验的主要目的是熟悉multisim软件的使用,包括电路图编辑,虚拟仪器仪表的使用和掌握常见电路分析方法。能够运用multisim软件对模拟电路进行设计和性能分析,掌握eda设计的基本方法和步骤。熟练掌握有关单级放大电路有...

EDA作业

山东交通学院。eda电路 设计软件。设计报告。班级电气111 姓名罗汉林。学号 110812135 专业电气工程及其自动化 学院信电学院。简易频率计数器的设计。设计说明。频率的测量实际上就是在1个单位时间内 通常1s 对信号脉冲进行计数,计数值就是信号频率。1.设计目标。用at89s51设计一个数显...

EDA作业

控制灯亮灭的一个eda程序 library ieee use use 以上三条语句引入可用的函数库。entity light is 定义实体,名为light port clk1 in std logic 程序仅有时钟信号这一个输入。light buffer std logic vector 7 do...