EDA作业

发布 2022-09-02 20:37:28 阅读 7596

电子电路基础——eda作业。

multisim的应用之晶体管放大器的设计与调测。

本实验的主要目的是熟悉multisim软件的使用,包括电路图编辑,虚拟仪器仪表的使用和掌握常见电路分析方法。能够运用multisim软件对模拟电路进行设计和性能分析,掌握eda设计的基本方法和步骤。熟练掌握有关单级放大电路有关知识,并应用相关知识来分析电路,求解相应的数据,做到理论实际相结合,加深对知识的理解。

一、实验目的。

1.掌握单级放大电路的静态工作点的调整和测试方法。

2.掌握单级放大电路的动态参数的测试方法。

二、实验任务与要求。

设计一个分压式电流负反馈偏置的单级共射极小信号放大器。

已知条件:电源电压为+12v.外接负载为2kω,信号源内阻为50ω,最低工作频率为100hz.

实验主要器件:三极管为8050(rbb’=300ω,β150),220kω电位器一个以及电容、电阻等。

设计指标要求:电压放大倍数大于50,输入电阻大于2kω。

三、实验步骤。

1.设计一个分压偏置的单管电压放大电路。

静态工作点为:

ib=11.18ua,ic=1.871ma,vc=8.259v,ve=1.882v。

2.输出电压的频域分析。

3. 时域分析下的输入电压及输出电压瞬态波形,并测量电压放大倍数。

放大倍数为:**=336.282/4.997=73.300

4. 输入阻抗的频域分析。

5.输出阻抗的频域分析。

四、实验小结。

本次实验的成败在于各元件参数的选取,因此在实验之前根据实验要求进行理论计算尤为重要,这样不仅使实验顺利进行,而且得到了较为准确的实验结果。

在对输入电阻,输出电阻,电压增益的测量中,测量结果与理论值相比较,误差不大,测量结果较为准确。最后利用交流分析,求出了电路的上下限频率和通频带宽,顺利完成了本次实验。

通过本次实验,进一步加深巩固了multisim在eda设计中的应用,使我们更好地掌握所学的知识,同时也提高了大家的动手能力、分析问题和解决问题的能力。

EDA作业

山东交通学院。eda电路 设计软件。设计报告。班级电气111 姓名罗汉林。学号 110812135 专业电气工程及其自动化 学院信电学院。简易频率计数器的设计。设计说明。频率的测量实际上就是在1个单位时间内 通常1s 对信号脉冲进行计数,计数值就是信号频率。1.设计目标。用at89s51设计一个数显...

EDA作业

控制灯亮灭的一个eda程序 library ieee use use 以上三条语句引入可用的函数库。entity light is 定义实体,名为light port clk1 in std logic 程序仅有时钟信号这一个输入。light buffer std logic vector 7 do...

eda作业

eda综合作业。1 任务 设计vhdl模块,输入模块的时钟信号为50mhz,输出如以下参数的信号 周期为22.6us,占空比为75 2 方案 输入的信号周期t 1 50m 0.02us,输出的21.5us的信号用计数器来实现,并且占空比为75 那么。输出信号的低电平范围 t1 22.6 25 5.6...