eda作业

发布 2022-09-02 20:40:28 阅读 8221

eda综合作业。

1、任务:设计vhdl模块,输入模块的时钟信号为50mhz, 输出如以下参数的信号: 周期为22.6us,占空比为75%。

2、方案:输入的信号周期t=1/50m=0.02us,输出的21.5us的信号用计数器来实现,并且占空比为75%,那么。

输出信号的低电平范围:t1=22.6*25%=5.65us,计数次数为n1=282.5

输出信号的低电平范围:t2=22.6*75%=16.95us,计数次数为n2=847.5

因此,当n<283时,输出低电平,2833、**:

library ieee;

use use

entity zwy226 is

port (clk,rst:in std_logic;

q:out std_logic);

end zwy226;

architecture uiol of zwy226 is

beginprocess(clk,rst)

variable cnt:integer;

begin

if rst='1' then cnt:=0;q<='0';

elsif rising_edge(clk)then

if cnt<=283 then q<='0';cnt:=cnt+1;

else q<='1';cnt:=cnt+1;

if cnt=1130 then cnt:=0;

end if;

end if;

end if;

end process;

end uiol;

4、**:5、结果分析。

本方案误差产生的原因是输出信号的周期和输入信号的周期不成倍数关系,故周期存在一个0.25计数误差。同样占空比也受到影响,出现误差。

改进方案,用时钟信号产生一个更小的信号,再用来产生完全准确的输出信号。

EDA作业

电子电路基础 eda作业。multisim的应用之晶体管放大器的设计与调测。本实验的主要目的是熟悉multisim软件的使用,包括电路图编辑,虚拟仪器仪表的使用和掌握常见电路分析方法。能够运用multisim软件对模拟电路进行设计和性能分析,掌握eda设计的基本方法和步骤。熟练掌握有关单级放大电路有...

EDA作业

山东交通学院。eda电路 设计软件。设计报告。班级电气111 姓名罗汉林。学号 110812135 专业电气工程及其自动化 学院信电学院。简易频率计数器的设计。设计说明。频率的测量实际上就是在1个单位时间内 通常1s 对信号脉冲进行计数,计数值就是信号频率。1.设计目标。用at89s51设计一个数显...

EDA作业

控制灯亮灭的一个eda程序 library ieee use use 以上三条语句引入可用的函数库。entity light is 定义实体,名为light port clk1 in std logic 程序仅有时钟信号这一个输入。light buffer std logic vector 7 do...