作业题答案

发布 2022-07-18 05:54:28 阅读 8595

2011-2012

1-5 ip 在eda技术的应用和发展中的意义是什么?

答:ip是知识产权或知识产权模块的意思,在eda技术开发中具有十分重要的地位。它是用于asic或fpga中预先设计好的功能模块。

当前ip核是为了易于重用而按嵌入式应用专门设计的。ip核按照“四最”目标进行优化的:芯片面积最小,运算速度最快、功耗消耗最低,工艺容差最大“。

同时ip要符合标准。由于ip是成熟、优化、标准的单元,使用它们可以提高效率减少风险。

1-6 叙述eda的fpga/cpld设计流程,以及涉及的eda工具及其在整个流程中的作用。

答:eda的fpga/clpd设计流程:图形输入/hdl文本输入、综合、适配,时序**与功能**,编程**、硬件测试。

eda工具:quartus

quartus支持eda的fpga/clpd设计的全部流程。

2-5 解释编程与配置的概念。

答:对于基于clpd器件,其编程数据可以保存。

对于基于sram lut结构的fpga器件,是易失性器件,每次上电必须进行的特殊编程称为配置。

3-1画出与以下实体描述对应的原理符号元件:

entity buf3s is

port(input: in std_logic;

enable: in std_logic;

output: out std_logic);

end buf3s;

3-3图3-31所示的是双2选1多路选择器构成的muxk,对于其中mux21a,当s=’0’和‘1’时,分别有y<=a和y<=b。试在一个结构体中用两个进程来表达此电路。每个进程用if/case语句描述一个2选一多路选择器mux21a。

图3-31 双2选1多路选择器。

library ieee;

use entity muxk1 is

port(a1,a2,a3,s0,s1: in std_logic;

outy: out std_logic);

end muxk1;

architecture abmux of muxk1 is

signal tmp: std_logic;

beginp1: process (a2,a3,s0)

begincase s0 is

when ‘0’ =tmp <=a2;

when ‘1’ =tmp <=a3;

when others =>null;

end case;

end process;

p2: process (a1,tmp,s1)

begincase s1 is

when ‘0’ =outy <=a1;

when ‘1’ =outy <=tmp;

when others =>null;

end case;

end process;

end abmux;

library ieee;

use entity muxk is

port(a1,a2,a3,s0,s1: in std_logic;

outy: out std_logic);

end muxk;

architecture abmux of muxk is

signal tmp: std_logic;

beginp1: process (a2,a3,s0)

beginif s0='1' then

tmp <=a2;

elsetmp <=a3;

end if;

end process;

p2: process (a1,tmp,s1)

beginif s1='1' then

outy <=a1;

elseouty<= tmp;

end if;

end process;

end abmux;

3-12 分别给出图3-20所示的6个rtl图的vhdl 描述,注意其中的d触发器和锁存器的表述。

library ieee;

use entity ex1 is

port(cl,clk: in std_logic;

outy: out std_logic);

end ex1;

architecture odff of ex1 is

signal tmp,outyy: std_logic;

beginp1: process (clk)

beginif(clk'event and clk='1')then

outyy<=tmp;

end if;

end process;

outy<=outyy nor cl;

end;library ieee;

use entity ex2 is

port(d,rst, clk: in std_logic;

q, dout: out std_logic);

end ex2;

architecture mxdff of ex2 is

signal tmp1,tmp2: std_logic;

beginp1: process (d,rst)

beginif(rst=’0’) then

tmp1<=d;

elsetmp1<=‘0’;

end if;

tmp2<= tmp1 xor d;

end process;

p2: process (clk,tmp2,tmp1)

beginif(clk'event and clk='1')then

q<=tmp1;

dout<=tmp2;

end if;

end process;

end;entity ex3 is

port(d,en,reset ,clk,set: in std_logic;

q: out std_logic);

end ex3;

architecture andff of ex3 is

signal tmp1: std_logic;

beginp1: process (d,clk)

beginif(reset=’0’) then

q<=’0’;

else if (set and (not reset)=’0’) then

q<=’1’;

else if (clk'event and clk='1') then

if(en=’1’) then

q<=d;

end if;

end process;

end;library ieee;

use entity ex4 is

port(d,en,reset ,clk: in std_logic;

q1,q2: out std_logic);

end ex4;

architecture logdff of ex4 is

signal tmp1: std_logic;

beginp1: process (d,clk)

beginif(reset=’0’) then

q2<=’0’;

elsif(clk'event and clk='1')then

if(en=’1’) then

q2<=d;

end if;

end process;

q1<=(e nand en )or rest;

end;library ieee;

use entity ex5 is

port(d1,d2,clk: in std_logic;

q22: out std_logic);

end ex5;

architecture mxlat of ex5 is

signal tmp1: std_logic;

beginp1: process (d1,clk)

beginif(d1=’0’) then

tmp2<=d2;

elsetmp2<=’0’;

end if;

if(clk=’1’) then

q22<=tmp1;

end if;

end process;

end;library ieee;

use entity ex6 is

port(a,b,c,d: in std_logic;

y: out std_logic);

end ex6;

architecture logmx of ex6 is

signal tmp1: std_logic;

begintmp1<= a or b;

tmp2<=tmp1 xor c or d;

p1: process (tmp1)

beginif(tmp1=’0’) then

y<=a;

elsey<= tmp2;

end if;

end process;

end;5-5 什么是重载函数?重载算符有何用处?如何调用重载算符函数?(此题具体见第九章)

答:同样名称的函数可以用不同的数据类型作为此函数的参数定义多次,以此的函数称为重在函数。

vhdl不允许不同来性的操作数之间进行直接操作或运算,为此在具有不同数类型操作数构成的同名函数中,可以定义运算符重载式的重载函数。

vhdl的ieee库中std_logic_unsigened 程序包中预定义的操作符如“+,and,mod”等,对相应的数据类型integer,std_log,std_logic_vector的操作作了重载。

作业题答案

一 填空题。1.函数pi的功能是根据以下近似公式求 值 请将下面函数的空白处填写正确,以完成求 的功能。include using namespace std include double pi long n double s 0.0 long k for k 1 k n k s s 1.0 k k...

作业题答案

第一次 1 3章 作业 1 如何认识和正确处理资本主义两类不同性质的基本矛盾?对我国改革有何意义?资本主义的基本矛盾是生产资料的私人占有同社会化大生产的矛盾。但其发展也是不平衡的,在不同阶段 不同领域,矛盾的性质也不同。在垄断阶段或垄断领域,其矛盾是私有垄断与其社会化大生产的矛盾,垄断阻碍发展,其矛...

作业题答案

library ieee use entity mywork is port cl,clk0 in std logic out1 out std logic end mywork architecture rtl of mywork is signal q std logic beginproces...