电子技术课程设计

发布 2022-10-05 15:28:28 阅读 5810

16x16字符发生器。

目录。一. 设计任务与要求2

二. 总体框图3

三. 选择器件4

四. 功能模块5

五. 总体设计电路图10

六. 总结12

七. 附录13

字符发生器。

广州亚运会欢迎你)

一.设计任务及要求。

1.设计任务:利用实验箱上16x16点阵,设计字符发生器,可以循环显。

示预置字符:广州亚运会欢迎你

2.设计要求:(1)利用vhdl编写字符扫描驱动电路;

2)设计一个可以自动循环显示8个字符的电路;

3)编写预置字符的rom程序生成模块接入电路。

4)理解用vhdl编写各模块的方法以及会使用vhdl语言。

5)了解点阵字符的产生和显示原理。

6)掌握rom和16&16点阵led的工作原理。

二。总体框图。

设计思路:电路由分频器,八进制计数器,rom状态机和address显示器这三部分构成。

reset是复位端,起复位作用,clk为时钟信号端,address的作用是在时钟clk的作用下将从rom状态机中读出相应字体信号对应正确的写在16×16的点阵上。

各模块功能:

1.八进制计数器是在addrrss[7..0]输出0-7的循环计数,由此输出与address的输出address[3..

0]共同作为rom的输入地址,以此决定q[15..0]的输出(即address的din[15..0]的输入),此八进制计数器是由74ls161十进制计数器修改得来的,输出由原来十进制的0-9循环输出变为八进制的0-7循环输出。

是一个用来存储数据的具有读写功能的的存储器,在此电路中的作用是存储“广州亚运会欢迎你”八个字。在address[7..0]输入相应的地址时读取rom中的相应的数据,然后在输出端q[15..

0]输出。

模块是实现设计中的分频信号,将时钟信号进行分频,然后经过与非门把转换的时钟信号送入rom驱动,驱动状态机正常运行。

4.二极管点阵显示模块是接受显示驱动的输出信号(即字符信号),在上面显示出动态的效果,使人一目了然。

的作用是把状态机中储存的字符信号显示在二极管点阵上,同时还作为整体置位的机体,使整个动态过程得到有效控制,使得到设计要求和人工置位。

三. 选择器件。

(1)配有quartus- ii的计算机一台。

(2) eda试验箱一台。

3) 16&16点阵。

(4)74ls161计数器。

器件介绍。本次设计软件采用quartus ii 6.0

quartus ii 是altera公司的综合性pld开发软件,支持原理图、vhdl、veriloghdl以及ahdl(altera hardware description language)等多种设计输入形式,内嵌自有的综合器以及**器,可以完成从设计输入到硬件配置的完整pld设计流程。

quartus ii可以在xp、linux以及unix上使用,除了可以使用tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

quartus ii支持altera的ip核,包含了lpm/megafunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方eda工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方eda工具。

2、fpga fpga(field-programmable gate array),即现场可编程门阵列,它是作为专用集成电路(asic)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

四.功能模块。

1.分频的时钟信号的模块。

1)原件图。

2)端口介绍。

clk为时钟信号输入端,clk_out为分频时钟输出端。

3)**图(由于**条件有限,用十分频表达1000分频)

4)程序。library ieee;

use use

entity div is

port(clk:in std_logic;

clkout:out std_logic);

end div;

architecture one of div is

beginprocess(clk)

variable cnt: integer range 0 to 1000;

variable tmp: std_logic;

beginif clk'event and clk='1' then

if cnt>=999 then

cnt:=0;

tmp:=not tmp;

elsecnt:=cnt+1;

end if;

end if;

clkout<=tmp;

end process;

end one;

2. 构成输出八进制的74ls161十进制计数器。

1) 原件图。

2) 端口介绍:

为输入端b:ldn为置数端;

c:ent与enp为使能控制端d:clrn为清零端;

e:rco为进位输出端为输出端;

g:clk为脉冲信号输入端。

3). 74ls161内部结构图。

4)74ls161功能表。

5)十转八进制计数器真值表。

6) 原理图。

7)**图。

**分析:由**图分析可知,输出将从"000"一直变化到"111",即一个脉冲周期结束返回,由此可证明此接法正确为八进制计数器。

3. address计数模块。

1)端口介绍与分析。

clk为时钟信号输入端,reset为置位端,din为接受十转八进制的输出信号,以便在二极管上显示的点阵信号,ad为片选地址输出,qout为十六位短驱动。

2)原件图。

3) 逻辑功能。

地址选择器包含行选线产生电路和列选线产生电路,从rom中选择相应的地址输出。

4)功能介绍。

clk为扫描时钟脉冲,控制扫描速度的快慢;reset为复位端,只有在reset为高电平的情况下,在脉冲上升沿到来时,ad计数,其中ad[3..0]输出扫描驱动信号,接入扫描片选端,依次选中led点阵的各列,din输入字符存储器中每个存储单元的数据,qout[15..0]控制led点阵的十六位点阵,din中存储器中调用的数据模块分别由qout[15..

0]输出,在led点阵中经扫描显示字符。

5)程序。library ieee;

use use

entity address is

port(clk,reset:in std_logic;

din :in std_logic_vector(15 downto 0);

ad :out std_logic_vector(3 downto 0);

qout :out std_logic_vector(15 downto 0));

end address;

architecture a of address is

beginprocess(clk,din,reset)

variable q1:std_logic_vector(3 downto 0):=0000";

beginif clk'event and clk='1' then

if reset='0'or(q1="1111")then

q1:="0000";

elseq1:=q1+1;

ad<=q1;

end if;

电子技术课程设计

课程设计说明书。课程名称 电子技术课程设计 专业 测控技术与仪器班级 073052 姓名 学号 指导教师 成绩。完成日期 2009 年 1 月 14 日。任务书。任务书。任务书。任务书。1.1 总体方案的选择。三个组成部分 触摸感应电路,开关电路和定时电路。vt1为npn,vt2为npn,当m端悬空...

电子技术课程设计

2012届本科课程设计。比赛用换人电子显示板的设计。姓名。系别 物理与信息工程系 专业 电子信息工程 学号。指导教师。2011年2月。物理与信息工程系课程设计评审表。目录 1 设计任务与要求2 1.1 基本功能2 1.2 扩展功能2 2 比赛用换人电子显示板的设计原理2 2.1 优先编码电路的工作原...

电子技术课程设计

一 音响系统放大器设计 1 9号做 1 一般说明 音响系统中的放大器决定了整个音响系统放音的音质 信噪比 频率响应以及音响输出功率的大小。高级音响中的放大器通常分为前置放大器和功率放大及电源等两大部分。前置放大器又可分为信号前置放大器和主控前置放大器。信号前置放大器的作用是均衡输入信号并改善其信噪比...