EDA交通灯课程设计

发布 2022-09-30 21:57:28 阅读 2989

学院:信息科学技术学院。

1.只有在小路上发现汽车时,高速公路上的交通灯才可能变为红灯。

2.当汽车行驶在小路上时、小路的交通灯保持为绿灯,但不能超过给定的延迟时间。

注;这段时间定义为20s时间)。

3.高速公路灯转为绿灯后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内保持高速公路绿灯。

(注:这段时间定义为60s)。

clk为系统时钟信号输入端,sm为传感器信号输入通行信号输入端,mr为主道红灯信号输出端,my为主道黄灯信号输出端,mg为主道绿灯信号输出端,br为小路红灯信号输出端,by为小路黄灯信号输出端,bg为小路绿灯信号输出端。

采用文本编辑法,既采用vhdl语言描述交通控制器,**如下:

library ieee;

use entity jiaotong is

port(clk,sm,sb:in std_logic;——定义输入信号,sm(sb)=1为高速路(小路)上有车,sm(sb)=0则高速路(小路)上无车。

mr,my,mg,br,by,bg:out std_logic);—mr、my、mg分别为高速上的红灯、黄灯、绿灯。br、by、bg分别为小路上的红灯、黄灯、绿灯。

为1灯亮。为0灯灭。

end jiaotong;

architecture art of jiaotong is——定义结构体。

type state_type is(a,b,c,d);—定义枚举类型state type有四个元素abcd

signal state:state_type;

begincnt:process(clk) is

variable s: integer range 0 to 60;

variable clr,en:bit;

begin

if(clk'event and clk='1') then

if clr='0' then

s:=0;——将倒计时清0

elsif en='0' then

s:=s;else

s:=s+1;

end if;

case state is

when a=>mr<='0';my<='0';mg<='1';—满足下列情况时高速路上绿灯亮,小路上红灯亮。

br<='1';by<='0';bg<='0';

if(sb and sm)='1' then——当高速路和小路上都有车。

if s=60 then

clr:='0';state<=b;en:='0';—且高速路上通车时间为60s,转到b状态。

elseclr:='1';state<=a;en:='1';—高速路上通车时间不足60s,保持a状态。

end if;

elsif(sb and not(sm))=1' then——高速路上没有车,小路上有车时。

clr:='0';state<=b;en:='0';—直接转b状态。

elsestate<=a;clr:='1';en:='1';—保持a状态。

end if;

when b=>mr<='0';my<='1';mg<='0';—满足下列条件时高速路上黄灯亮,小路上的红灯亮,且黄灯倒计时5s

br<='1';by<='0';bg<='0';

if s=5 then

state<=c;clr:='0';en:='0';—倒计时结束转c状态。

elsestate<=b;clr:='1';en:='1';

end if;

when c=>mr<='1';my<='0';mg<='0';—满足下列条件时高速路上红灯亮,小路上通车。

br<='0';by<='0';bg<='1';

if (sm and sb)='1' then

if s=20 then

state<=d;clr:='0';en:='0';—小路上通车20s后转d状态。

elsestate<=c;clr:='1';en:='1';—小路通车不满20s继续c状态。

end if;

elsif sb='0' then

state<=d;clr:='0';en:='0';—小路上没车转d状态。

elsestate<=c;clr:='1';en:='1';—小路有车持续c状态。

end if;

when d=>mr<='1';my<='0';mg<='0';—高速路上亮红灯,小路上亮黄灯。

br<='0';by<='1';bg<='0';

if s=5 then

state<=a;clr:='0';en:='0';—黄灯倒计时5s后转a状态。

elsestate<=d;clr:='1';en:='1';—黄灯倒计时不满5s继续d状态。

end if;

end case;

end if;

end process cnt;

end architecture art;

学院:信息科学技术学院。

EDA课程设计 交通灯

交通信号灯控制电路设计。1 概述。城市道路交叉口是城市道路网络的基本节点,也是网络交通流的瓶颈。目前,大部分无控制交叉口都存在高峰小时车流混乱 车速缓慢 延误情况严重 事故多发 通行能力和服务水平低下等问题。特别是随着城市车流量的快速增长,城市无控制道路交叉口的交通压力越来越大。因此,做好基于eda...

EDA交通灯课程设计

学院 电气与信息工程学院。1.东西各设有一个绿 黄 红指示灯 一个2位7段数码管。1 南北和东西方向各有一组绿,黄,红灯,各自的持续时间分别为20s,5s,25s 2 当有特殊情况时,两个方向均为红灯,计时暂停,当特殊情况结束后,控制器恢复原来状态,继续正常工作。3 用两组数码管,以倒计时方式显示两...

交通灯EDA课程设计

eda交通灯设计。学院 信息工程学院。班级 学号 姓名 目录。第一章设计原理 1 第二章设计流程 2 第三章程序设计说明 3 3.1 程序设计流程图 3 3.2 分频模块 3 3.3 特殊功能及清零模块 4 3.4 交通灯运行模块 4 3.5 扫描显示模块 6 第四章 及调试 8 4.1调试步骤 8...