数电大作业

发布 2022-09-20 10:49:28 阅读 3416

数字电子电路基础课内大作业。

学院:电子工程学院。

完**及学号:陈串串(130

指导老师:徐少莹。

综合设计题。

一、简易流水灯设计。

1、总体思路。

8位流水灯始终是一亮七暗,且led灯是依次闪亮,根据这个特点可以考虑采用71ls138译码器的输出来实现流水灯的循环电路。同时,还可以用74ls161四位二进制计数器来控制74ls138的输入端,从而实现对led灯亮灭的控制。

2、使用元件。

3—8译码器74ls138,四位二进制计数器74ls161,555定时器,逻辑门若干。

3、电路原理框图。

4、元器件在电路中的主要功能。

555定时器。

555定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而可以改变灯亮时间,而且它的振荡周期为t=0.7(r1+2r2)c。此处c=0.

1uf.由电路参数可知,当r1为10kω时,灯亮时间为0.0014s.

它的功能主要由两个比较器决定。两个比较器的输出电压控制rs触发器和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器c1的同相输入端的电压为2vcc/3,c2的反相输入端的电压为vcc/3。

若触发输入端tr的电压小于vcc/3,则比较器c2的输出为0,可使rs触发器置1,使输出端out=1。如果阈值输入端th的电压大于2vcc/3,同时tr端的电压大于vcc/3,则c1的输出为0,c2的输出为1,可将rs触发器置0,使输出为0电平。电路图如下:

74ls161计数器74ls161计数器在本电路中的作用是产生000-111脉冲控制74ls138的a2a1a0,依次选通y0-y7。产生脉冲序列也可以用74ls191是四位二进制同步加/减计数器,与74ls161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74ls161是常用的计数器,所以选择74ls161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲序号,时钟脉冲外部接入,原理图如下图所示:

74ls138译码器74ls138译码器在本电路中的作用主要是选通指示灯发光。它的输出端为一个低电平,经过取反之后可以得到一个高电平,从而控制灯的亮灭。它的工作原理是:

①当一个选通端(e1)为高电平,另两个选通端e2和e3为低电平时,可将地址端(a0、a1、a2)的二进制编码在y0至y7对应的输出端以低电平译出。举例说明:如果a2a1a0=001,那么y1输出0,其余输出1,经过取反之后为1,其余为0,因此只剩下与1相连的指示灯亮,其余灯不亮。

因为要控制八位指示灯循环点亮,则需要一系列脉冲序列,使得a2a1a0电平发生变化。即依次选通y0-y7,脉冲从000-111。

5、整体电路**及结果分析。

multisim**软件上搭建电路图如下:

逻辑分析仪对3—8译码器输出端进行高低电平检测:

结果分析:y0-y7输出波形如上图所示,由于y0-y7高低电平的变化,所以指示灯会闪烁变化,但必须脉冲频率最好在1khz以下,以便人眼能够识别,计数器产生000-111脉冲输入74ls138的输入端,实现y0-y7的选通,从而实现上述功能,每个灯亮的时间为0.014s。

二、竞赛抢答定时器。

1、总体思路。

抢答定时器应该有两个开关,s1由答题者控制,s2由主持人控制,当主持人将开关s2按下,进入抢答倒计时,计时时间为9秒钟,在此期间,答题者可以操作自己身边的开关s1,s1按下时计时将暂停,抢答成功!如果在倒计时期间没有按下开关s1(无人抢答),倒计时结束时,会有警报响起(用发光二极管指示),同时数码管一直显示0不变,直到下一轮抢答。

2、使用元件。

七段数码管、74ls193计数器、74ls48、逻辑门若干。

3、整体电路**图及结果分析。

抢答开始前状态)

倒计时结束警报响起led灯亮起)

答题者按下开关s1,计时暂停)

结果分析:开关s1处接rs触发器防止了按键的抖动,影响计数器的稳定性。该电路能够较好完成预期功能。

数电大作业

贵州航天职业技术学院。数字电路课程设计报告书。2011年月日 数字抢答器的设计。1 设计目的。1 熟悉集成电路的引脚安排。2 掌握各芯片的逻辑功能及使用方法。3 了解面包板结构及其接线方法。4 了解数字抢答器的组成及工作原理。5 熟悉数字抢答器的设计与制作。2 设计思路。1 设计抢答器电路。2 设计...

数电大作业

班级。学号 姓名。一位8421bcd码相加,输出仍为8421bcd码。两个一位十进制数相加,加数和被加数的取值范围是0 9,其和最大为18.列出十进制数0 19与二进制数,8421bcd码的对应关系。从表中可以看出,在二进制加法器的相加结果大于01111时才会产生进位1,而8421bcd码大于010...

数电大作业

数电第二次大实验。十字路 通灯自动控制器的设计。班级 001011 学号 00101106 姓名 宋攀飞。实验题目 十字路 通灯自动控制器的设计。一 实验内容及任务 设计一个十字路 通控制系统,其东西,南北两个方向除了有红 黄 绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间...