EDA大作业出租车计费器

发布 2022-09-10 10:28:28 阅读 8756

课程报告。课程名称: eda设计技术。

设计题目: 出租车计费器。

学院: 信息工程与自动化学院。

专业。年级。

学生姓名。学号。

指导教师: 江虹。

日期: 2023年6月10日。

目录。前言。

一、设计要求。

二、设计原理。

三、系统具体模块实现。

控制模块。译码显示模块。

四、课程设计的心得与体会。

五、参考资料。

附源程序 前言。

随着出租车行业的发展,对出租车计费器的要求也越来越高。最近几年出租车行业发展迅速,在全国有几千家出租车公司。因此出租车计费器市场是庞大的。而出租车计费器成为不可缺少的一部分。

随着eda技术的高速发展,电子系统的设计技术和工具发生了深刻的变化,大规模可编程逻辑器件cpld/fpga的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。利用veriloghdl语言设计出租车计费系统,使其实现计费以及预置和模拟汽车的起动、停止、暂停和换挡等状态,并设计数码管动态扫描电路,将车费和路程显示出来,突出了其作为硬件描述语言的良好的可读性、可移植性和易读性等优点。

此程序通过**到特定芯片后,可应用于实际的出租车计费系统中。

本设计采用veriloghdl硬件描述语言**了出租车自动计费电路的设计思路和功能模块划分。然后,初步**了电路逻辑综合的原理,该软件对出租车自动计费电路进行了逻辑综合。最后,使用eda实验开发系统软件quartusii进行模块化的模拟,但因为实验器材不够,没能**下来在板子上真实体现。

关键字:出租车计费器 eda quartusii veriloghdl

一、设计要求。

设计一个出租车计费器,能按路程计费,具体要求如下所述:

1) 实现计费功能,计费标准为:按行驶里程计费,起步价 6.00 元,并在车行驶 3km后按 1.

2 元/km 计费,当计费器达到或超过 20 元时,每 km 加收 50%的车费,车辆停止和暂停时不计费。

2) 现场模拟汽车的起动、停止、暂停和换挡等状态。

3) 设计数码管动态扫描电路,将车费和路程显示出来,各有两位小数。

4) 系统时钟 20mhz。

二、设计原理。

设计该出租车有起动键、停止键、暂停键和档位键。起动键为脉冲触发信号,当其为一个脉冲时,表示汽车已起动,并根据车速的选择和基本车速发出响应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。

出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下所示。控制模块实现了计费和路程的计数,并且通过不同的档位来控制车速。

译码显示模块实现十进制数到 4 位二进制数的转换,以及车费和路程的显示。

三、系统具体模块实现。

控制模块。对控制模块taxi进行**后得到功能**结果和时序**结果。 观察波形可知,当启动键“start”为一个脉冲时,表示汽车己起动,车费money显示起步价6.

00元,同时路程distance随着计费脉冲开始计数;当停止键stop为“1”时,表示汽车已经熄火,车费money和路程distance均为0;当暂停键pause为“1”时,车费和路程停止计数;当档位键分别取2和3时,路程的计数逐渐加快,表示车速逐渐加快。

实物图:taxi控制模块功能**。

taxi控制模块时序**。

译码显示模块。

将扫描数码管的分频系数改小后,可得到译码显示模块decoder的功能**结果和时序**结果。进行译码的时钟频率必须比汽车的计费时钟高得多,才能实时显示出车费和路程的变化,这里直接采用晶振时钟20mhz即可。其中comb1和comb2是采用高频时钟控制的计数器,当输入车费和路程数据后,此计数器开始计数,直到与车费和路费的数值相等后才停止,这样就实现了大整数到多位十进制数的转换。

comb1_a、comb1_b、 comb1_c、comb1_d为车费的4位十进制数表示;comb2_a、comb2_b、 comb2_c、comb2_d为路程的4位十进制数表示。可以看出,当输入的车费money_in和路程distance_in取不同的值时,用高频计数器转换后均输出对应的4位十进制数。

实物图:(截取部分)

计费时钟电路:

车费实物电路:

译码显示模块decoder的功能**结果。

译码显示模块decoder的时序**结果。

四、课程设计的心得与体会。

经过一段时间的学习和操作,出租车计费器系统的设计和功能实现基本能够完成,能简单现场模拟汽车的起动、停止、暂停和换挡等状态。设计数码管动态扫描电路,将车费和路程显示出来,各有两位小数。车暂停时停止计费。

若停止清零,等待下一次计费的开始。在这次的出租车计费器的设计中体现了vhdl覆盖面广,描述能力强,是一个多层次的硬件描述语言及pld器件速度快,使用方便,便于修改等特点。

在对出租车计费器的设计中我们再次熟悉和增强了对vhdl语言的基本知识,熟悉利用vhdl语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来。更加了解和加深了对编制和调试程序的技巧,进一步提高了上机设计能力,培养了使用设计综合电路的能力,养成了规范编程的思想。在课设过程中,遇到了不少问题,从一开始的对于软件的掌握不够熟悉,很多操作还要慢慢通过查阅资料才能进行,到后边已经有了一定量的了解,能够自己完成整个过程。

五、参考资料。

1] 潘松,黄继业。《eda技术实用教程》(第四版).科学出版社,2011.3

2] 焦素敏。《eda应用技术》.清华大学出版社,2002.4

3] 孟庆海,张洲。vhdl基础及经典实例开发。西安:西安交通大学出版社,2008.4

4] 刘江海。《eda技术课程设计》.华中科技大学出版社,2009.5

5] 蒋小燕,余伟钧,张立臣。 《eda技术及vhdl》.2008.12.

附源程序 module taxi(money,distance,clk,stop,start,pause,speedup);

input clk;

input stop;

input start;

input pause;

input[1:0] speedup;

output[12:0] money;

output[12:0] distance;

reg[12:0] money;

reg[12:0] distance;

reg[12:0] money_reg车费寄存器。

reg[12:0] distance_reg路程寄存器。

reg[3:0] num控制车速的计数器。

reg[15:0] dis千米计数器。

reg d千米标志位。

always @(posedge clk)

beginif(stop车停止,路程、车费清零。

beginmoney_reg<='d0;

distance_reg<='d0;

dis<='d0;

num<='d0;

endelse if(start启动后,起步价6元。

beginmoney_reg<='d600;

distance_reg<='d0;

dis<='d0;

num<='d0;

endelse

beginif(!start&&!stop&&!pause&&!speedup) /1档。

beginif(num=='d9)

beginnum<='d0;

distance_reg<=distance_reg+1;

dis<=dis+1;

endelse

beginnum<=num+1;

end end

else if(!start&&!stop&&!pause&&speedup=='b01) /2档。

beginif(num=='d9)

beginnum<='d0;

distance_reg<=distance_reg+2;

dis<=dis+2;

endelse

beginnum<=num+1;

end end

else if(!start&&!stop&&!pause&&speedup=='b10) /3档。

beginif(num=='d9)

beginnum<='d0;

distance_reg<=distance_reg+5;

dis<=dis+5;

endelse

beginnum<=num+1;

end end

else if(!start&&!stop&&!pause&&speedup=='b11) /4档。

begindistance_reg<=distance_reg+1;

dis<=dis+1;

endend

if(dis>='d100)

begind<='d1;

dis<='d0;

endelse

begind<='d0;

endif(distance_reg >=d300) /如果超过3km,则按1.2元/km计费。

beginif(money_reg <=d2000 &&d=='d1)

beginmoney_reg <=money_reg + d120;

endelse if(money_reg >=d2000 &&d=='d1)

beginmoney_reg <=money_reg + d180;

EDA大作业出租车计费系统的设计

1.所选题目 出租车计费器。2.设计要求 设计一个出租车计费器,能按路程计费,具体要求如下 实现计费功能,计费标准为 按行驶里程计费,起步价为6.00元,并在汽车行驶2km后按1.2元 km计费,当里程数达到15km后,没千米加收50 的空驶费,车辆停止和暂停时不计费。现场模拟汽车的启动 停止 暂停...

出租车年度总结

2005年是不同寻常的一年,通利公司在局党委的正确领导下,克服市场竞争激烈 资金短缺等困难,亦步亦趋,稳扎稳打,以 重要思想为指导,以发展主业为中心,多元经营,紧紧围绕 六大工程 深入开展了第五个市民满意年工作,不断促进了三个文明建设。现将工作总结如下。主业方面 千方百计筹集资金,贷款315.2万元...

出租车的温暖作文

下午和同窗去公园玩,涂石膏涂过了头,出公园时,已是五点四十了,天亮的早,大街上灯火阑珊。出租车此时,都到了要交车的工夫了,有的车,虽然拉的有人,也是亮着 空车 的牌子,很分明一幅 生人勿近 的容貌,还有的司机,心甘情愿被拦下后,也是一脸不耐烦的容貌,意味性地问了问目的地,一句敷衍的 去不成 便是驾着...